Help
RSS
API
Feed
Maltego
Contact
Domain > valentfx.com
×
Welcome!
Right click nodes and scroll the mouse to navigate the graph.
×
More information on this domain is in
AlienVault OTX
Is this malicious?
Yes
No
Whois
Property
Value
Email
fvnktion@gmail.com
NameServer
NS3840.HOSTGATOR.COM
Created
2012-08-14 00:00:00
Changed
2016-07-22 00:00:00
Expires
2017-08-14 00:00:00
Registrar
LAUNCHPAD.COM, INC.
DNS Resolutions
Date
IP Address
2024-10-07
192.185.52.228
(
ClassC
)
Port 80
HTTP/1.1 200 OKDate: Mon, 07 Oct 2024 02:18:54 GMTServer: ApacheUpgrade: h2,h2cConnection: UpgradeLast-Modified: Mon, 07 Oct 2024 01:01:33 GMTAccept-Ranges: bytesContent-Length: 67628Vary: Accept-EncodingContent-Type: text/html; charsetUTF-8 !DOCTYPE HTML>html xmlnshttp://www.w3.org/1999/xhtml xml:langen-US langen-US>head>title>logi-family on ValentF(x)/title>link hrefhttp://valentfx.com/wp-content/themes/s5_corporate_response/favicon.ico relshortcut icon typeimage/x-icon />link reldns-prefetch href//s0.wp.com />link reldns-prefetch href//secure.gravatar.com />link reldns-prefetch href//s.w.org />link reldns-prefetch href//k4z6w9b5.stackpathcdn.com />link reldns-prefetch href//cdn.shareaholic.net />link reldns-prefetch href//www.shareaholic.net />link reldns-prefetch href//analytics.shareaholic.com />link reldns-prefetch href//recs.shareaholic.com />link reldns-prefetch href//go.shareaholic.com />link reldns-prefetch href//partner.shareaholic.com />link relalternate typeapplication/rss+xml titleValentF(x) » Feed hrefhttp://valentfx.com/feed/ />link relalternate typeapplication/rss+xml titleValentF(x) » logi-family Tag Feed hrefhttp://valentfx.com/tag/logi-family/feed/ />!-- Powered by Shareaholic; Grow your Audience faster! - https://www.shareaholic.com -->link relpreload href//cdn.shareaholic.net/assets/pub/shareaholic.js asscript/>script data-no-minify1 data-cfasyncfalse> //!CDATA _SHR_SETTINGS {endpoints:{local_recs_url:http:\/\/valentfx.com\/wp-admin\/admin-ajax.php?actionshareaholic_permalink_related,ajax_url:http:\/\/valentfx.com\/wp-admin\/admin-ajax.php,share_counts_url:http:\/\/valentfx.com\/wp-admin\/admin-ajax.php?actionshareaholic_share_counts_api},site_id:f0d56b101b514a134f734b669f338316}; //>/script>script data-no-minify1 data-cfasyncfalse src//cdn.shareaholic.net/assets/pub/shareaholic.js data-shr-siteidf0d56b101b514a134f734b669f338316 async >/script>!-- Shareaholic Content Tags -->meta nameshareaholic:site_name contentValentF(x) />meta nameshareaholic:language contenten-US />meta nameshareaholic:article_visibility contentprivate />meta nameshareaholic:site_id contentf0d56b101b514a134f734b669f338316 />meta nameshareaholic:wp_version content8.13.13 />!-- Shareaholic Content Tags End --> script typetext/javascript> window._wpemojiSettings {baseUrl:https:\/\/s.w.org\/images\/core\/emoji\/11.2.0\/72x72\/,ext:.png,svgUrl:https:\/\/s.w.org\/images\/core\/emoji\/11.2.0\/svg\/,svgExt:.svg,source:{concatemoji:http:\/\/valentfx.com\/wp-includes\/js\/wp-emoji-release.min.js?ver5.1.19}}; !function(e,a,t){var n,r,o,ia.createElement(canvas),pi.getContext&&i.getContext(2d);function s(e,t){var aString.fromCharCode;p.clearRect(0,0,i.width,i.height),p.fillText(a.apply(this,e),0,0);ei.toDataURL();return p.clearRect(0,0,i.width,i.height),p.fillText(a.apply(this,t),0,0),ei.toDataURL()}function c(e){var ta.createElement(script);t.srce,t.defert.typetext/javascript,a.getElementsByTagName(head)0.appendChild(t)}for(oArray(flag,emoji),t.supports{everything:!0,everythingExceptFlag:!0},r0;ro.length;r++)t.supportsorfunction(e){if(!p||!p.fillText)return!1;switch(p.textBaselinetop,p.font600 32px Arial,e){caseflag:return s(55356,56826,55356,56819,55356,56826,8203,55356,56819)?!1:!s(55356,57332,56128,56423,56128,56418,56128,56421,56128,56430,56128,56423,56128,56447,55356,57332,8203,56128,56423,8203,56128,56418,8203,56128,56421,8203,56128,56430,8203,56128,56423,8203,56128,56447);caseemoji:return!s(55358,56760,9792,65039,55358,56760,8203,9792,65039)}return!1}(or),t.supports.everythingt.supports.everything&&t.supportsor,flag!or&&(t.supports.everythingExceptFlagt.supports.everythingExceptFlag&&t.supportsor);t.supports.everythingExceptFlagt.supports.everythingExceptFlag&&!t.supports.flag,t.DOMReady!1,t.readyCallbackfunction(){t.DOMReady!0},t.supports.everything||(nfunction(){t.readyCallback()},a.addEventListener?(a.addEventListener(DOMContentLoaded,n,!1),e.addEventListener(load,n,!1)):(e.attachEvent(onload,n),a.attachEvent(onreadystatechange,function(){completea.readyState&&t.readyCallback()})),(nt.source||{}).concatemoji?c(n.concatemoji):n.wpemoji&&n.twemoji&&(c(n.twemoji),c(n.wpemoji)))}(window,document,window._wpemojiSettings); /script> style typetext/css>img.wp-smiley,img.emoji { display: inline !important; border: none !important; box-shadow: none !important; height: 1em !important; width: 1em !important; margin: 0 .07em !important; vertical-align: -0.1em !important; background: none !important; padding: 0 !important;}/style> link relstylesheet idstyle.css-css hrefhttp://valentfx.com/wp-content/themes/s5_corporate_response/style.css?ver5.1.19 typetext/css mediaall />link relstylesheet idwp-block-library-css hrefhttp://valentfx.com/wp-includes/css/dist/block-library/style.min.css?ver5.1.19 typetext/css mediaall />link relstylesheet idgithub-embed-css hrefhttp://valentfx.com/wp-content/plugins/github-embed/css/github-embed.css?ver5.1.19 typetext/css mediaall />link relstylesheet idjetpack_css-css hrefhttp://valentfx.com/wp-content/plugins/jetpack/css/jetpack.css?ver7.9.3 typetext/css mediaall />script typetext/javascript srchttp://valentfx.com/wp-includes/js/jquery/jquery.js?ver1.12.4>/script>script typetext/javascript srchttp://valentfx.com/wp-includes/js/jquery/jquery-migrate.min.js?ver1.4.1>/script>script typetext/javascript srchttp://valentfx.com/wp-content/themes/s5_corporate_response/js/jquery/jquery-ui.min.js?ver5.1.19>/script>script typetext/javascript srchttp://valentfx.com/wp-content/themes/s5_corporate_response/js/jquery/jquery-noconflict.js?ver5.1.19>/script>link relhttps://api.w.org/ hrefhttp://valentfx.com/wp-json/ />link relEditURI typeapplication/rsd+xml titleRSD hrefhttp://valentfx.com/xmlrpc.php?rsd />link relwlwmanifest typeapplication/wlwmanifest+xml hrefhttp://valentfx.com/wp-includes/wlwmanifest.xml /> meta namegenerator contentWordPress 5.1.19 />link relstylesheet href/wp-content/plugins/widgetkit/cache/widgetkit-fbef263d.css />script src/wp-content/plugins/widgetkit/cache/widgetkit-24b7927d.js>/script>!-- meta nameNextGEN version3.2.23 /> -->link reldns-prefetch href//v0.wordpress.com/>!-- Jetpack Open Graph Tags -->meta propertyog:type contentwebsite />meta propertyog:title contentlogi-family – ValentF(x) />meta propertyog:url contenthttp://valentfx.com/tag/logi-family/ />meta propertyog:site_name contentValentF(x) />meta propertyog:image contenthttps://s0.wp.com/i/blank.jpg />meta propertyog:locale contenten_US />!-- End Jetpack Open Graph Tags -->meta nameviewport contentinitial-scale1.0, maximum-scale1.0, minimum-scale1.0, user-scalableno />!-- Css and js addons for vertex features --> link relstylesheet typetext/css href//fonts.googleapis.com/css?familyOswald />style typetext/css> /* MAX IMAGE WIDTH */img {height:auto !important;max-width:100% !important;-webkit-box-sizing: border-box !important; /* Safari/Chrome, other WebKit */-moz-box-sizing: border-box !important; /* Firefox, other Gecko */box-sizing: border-box !important; /* Opera/IE 8+ */}.full_width {width:100% !important;-webkit-box-sizing: border-box !important; /* Safari/Chrome, other WebKit */-moz-box-sizing: border-box !important; /* Firefox, other Gecko */box-sizing: border-box !important; /* Opera/IE 8+ */}.S5_submenu_itemTablet{background:none !important;}#s5_responsive_modile_drop_down_wrap input {width:96% !important;}#s5_responsive_mobile_drop_down_search input {width:100% !important;}@media screen and (max-width: 750px){ body { height:100% !important; position:relative !important; padding-bottom:48px !important; }}@media screen and (max-width: 970px){ #subMenusContainer .S5_subtext { width:85%; }} #s5_responsive_mobile_bottom_bar, #s5_responsive_mobile_top_bar { background:#0B0B0B; background: -moz-linear-gradient(top, #272727 0%, #0B0B0B 100%); /* FF3.6+ */ background: -webkit-gradient(linear, left top, left bottom, color-stop(0%,#272727), color-stop(100%,#0B0B0B)); /* Chrome,Safari4+ */ background: -webkit-linear-gradient(top, #272727 0%,#0B0B0B 100%); /* Chrome10+,Safari5.1+ */ background: -o-linear-gradient(top, #272727 0%,#0B0B0B 100%); /* Opera11.10+ */ background: -ms-linear-gradient(top, #272727 0%,#0B0B0B 100%); /* IE10+ */ background: linear-gradient(top, #272727 0%,#0B0B0B 100%); /* W3C */ font-family: Oswald !important; } .s5_responsive_mobile_drop_down_inner, .s5_responsive_mobile_drop_down_inner input, .s5_responsive_mobile_drop_down_inner button, .s5_responsive_mobile_drop_down_inner .button, #s5_responsive_mobile_drop_down_search .validate { font-family: Oswald !important; } .s5_responsive_mobile_drop_down_inner button:hover, .s5_responsive_mobile_drop_down_inner .button:hover { background:#0B0B0B !important; } #s5_responsive_mobile_drop_down_menu, #s5_responsive_mobile_drop_down_menu a, #s5_responsive_mobile_drop_down_login a { font-family: Oswald !important; color:#FFFFFF !important; } #s5_responsive_mobile_bar_active, #s5_responsive_mobile_drop_down_menu .current a, .s5_responsive_mobile_drop_down_inner .s5_mod_h3, .s5_responsive_mobile_drop_down_inner .s5_h3_first { color:#468BD0 !important; } .s5_responsive_mobile_drop_down_inner button, .s5_responsive_mobile_drop_down_inner .button { background:#468BD0 !important; } #s5_responsive_mobile_drop_down_menu .active ul li, #s5_responsive_mobile_drop_down_menu .current ul li a, #s5_responsive_switch_mobile a, #s5_responsive_switch_desktop a, #s5_responsive_modile_drop_down_wrap { color:#FFFFFF !important; } #s5_responsive_mobile_toggle_click_menu span { border-right:solid 1px #272727; } #s5_responsive_mobile_toggle_click_menu { border-right:solid 1px #0B0B0B; } #s5_responsive_mobile_toggle_click_search span, #s5_responsive_mobile_toggle_click_register span, #s5_responsive_mobile_toggle_click_login span, #s5_responsive_mobile_scroll a { border-left:solid 1px #272727; } #s5_responsive_mobile_toggle_click_search, #s5_responsive_mobile_toggle_click_register, #s5_responsive_mobile_toggle_click_login, #s5_responsive_mobile_scroll { border-left:solid 1px #0B0B0B; } .s5_responsive_mobile_open, .s5_responsive_mobile_closed:hover, #s5_responsive_mobile_scroll:hover { background:#272727; } #s5_responsive_mobile_drop_down_menu .s5_responsive_mobile_drop_down_inner, #s5_responsive_mobile_drop_down_register .s5_responsive_mobile_drop_down_inner, #s5_responsive_mobile_drop_down_login .s5_responsive_mobile_drop_down_inner, #s5_responsive_mobile_drop_down_search .s5_responsive_mobile_drop_down_inner { background:#272727; } .s5_wrap { max-width:1300px !important; } @media screen and (min-width: 1300px){ #s5_right_top_wrap { width:391.3px !important; } #s5_right_inset_wrap { width:0px !important; } #s5_right_wrap { width:391.3px !important; } #s5_right_bottom_wrap { width:391.3px !important; } #s5_left_top_wrap { width:0px !important; } #s5_left_inset_wrap { width:0px !important; } #s5_left_wrap { width:0px !important; } #s5_left_bottom_wrap { width:0px !important; } #s5_right_column_wrap { width:391.3px !important; margin-left:-391.3px !important; } #s5_left_column_wrap { width:0px !important; } #s5_center_column_wrap_inner { margin-left:0px !important; margin-right:391.3px !important; } } @media screen and (max-width: 970px){ #s5_right_top_wrap { width:301px !important; } #s5_right_inset_wrap { width:301px !important; } #s5_right_wrap { width:301px !important; } #s5_right_bottom_wrap { width:301px !important; } #s5_left_top_wrap { width:0px !important; } #s5_left_inset_wrap { width:0px !important; } #s5_left_wrap { width:0px !important; } #s5_left_bottom_wrap { width:0px !important; } #s5_right_column_wrap { width:301px !important; margin-left:-301px !important; } #s5_left_column_wrap { width:0px !important; } #s5_center_column_wrap_inner { margin-left:0px !important; margin-right:301px !important; } } @media screen and (max-width: 750px){ #s5_right_top_wrap { width:240.8px !important; } #s5_right_inset_wrap { width:240.8px !important; } #s5_right_wrap { width:240.8px !important; } #s5_right_bottom_wrap { width:240.8px !important; } #s5_left_top_wrap { width:0px !important; } #s5_left_inset_wrap { width:0px !important; } #s5_left_wrap { width:0px !important; } #s5_left_bottom_wrap { width:0px !important; } #s5_right_column_wrap { width:240.8px !important; margin-left:-240.8px !important; } #s5_left_column_wrap { width:0px !important; } #s5_center_column_wrap_inner { margin-left:0px !important; margin-right:240.8px !important; } }/style>script typetext/javascript>//!CDATA//>/script>script typetext/javascript srchttp://valentfx.com/wp-content/themes/s5_corporate_response/js/s5_flex_menu.js>/script>link relstylesheet hrefhttp://valentfx.com/wp-content/themes/s5_corporate_response/css/s5_flex_menu.css typetext/css />link hrefhttp://valentfx.com/wp-content/themes/s5_corporate_response/css/template_default.css relstylesheet typetext/css />link hrefhttp://valentfx.com/wp-content/themes/s5_corporate_response/css/template.css relstylesheet typetext/css />link hrefhttp://valentfx.com/wp-content/themes/s5_corporate_response/css/com_content.css relstylesheet typetext/css />link hrefhttp://valentfx.com/wp-content/themes/s5_corporate_response/css/editor.css relstylesheet typetext/css />link hrefhttp://valentfx.com/wp-content/themes/s5_corporate_response/css/thirdparty.css relstylesheet typetext/css />link relstylesheet typetext/css href//fonts.googleapis.com/css?familyActor />link hrefhttp://valentfx.com/wp-content/themes/s5_corporate_response/css/multibox/multibox.css relstylesheet typetext/css />link hrefhttp://valentfx.com/wp-content/themes/s5_corporate_response/css/multibox/ajax.css relstylesheet typetext/css />script typetext/javascript srchttp://valentfx.com/wp-content/themes/s5_corporate_response/js/multibox/overlay.js>/script>script typetext/javascript srchttp://valentfx.com/wp-content/themes/s5_corporate_response/js/multibox/multibox.js>/script>link hrefhttp://valentfx.com/wp-content/themes/s5_corporate_response/favicon.ico relshortcut icon typeimage/x-icon /> link relstylesheet typetext/css hrefhttp://valentfx.com/wp-content/themes/s5_corporate_response/css/s5_responsive_bars.css /> link hrefhttp://valentfx.com/wp-content/themes/s5_corporate_response/css/s5_responsive_hide_classes.css relstylesheet typetext/css /> link relstylesheet typetext/css hrefhttp://valentfx.com/wp-content/themes/s5_corporate_response/css/s5_responsive.css /> link relstylesheet typetext/css hrefhttp://valentfx.com/wp-content/themes/s5_corporate_response/css/custom.css /> script typetext/javascript> //!CDATA jQuery(document).ready( function() { var myMenu new MenuMatic({ tabletWidth:1400, effect:slide & fade, duration:1000, physics: easeOutExpo, hideDelay:500, orientation:horizontal, tweakInitial:{x:0, y:0}, direction:{ x: right, y: down }, opacity:100 }); }); //> /script> !-- Info Slide Script - Called in header so css validates -->link hrefhttp://valentfx.com/wp-content/themes/s5_corporate_response/css/s5_info_slide.css relstylesheet typetext/css />script typetext/javascript srchttp://valentfx.com/wp-content/themes/s5_corporate_response/js/s5_info_slide.js>/script>!-- File compression. Needs to be called last on this file -->!-- The excluded files, listed below the compressed php files, are excluded because their calls vary per device or per browser. Included compression files are only ones that have no conditions and are included on all devices and browsers. Otherwise unwanted css will compile in the compressed files. -->link relstylesheet typetext/css hrefhttp://fonts.googleapis.com/css?familyOpen Sans Condensed:700 />style typetext/css> body {font-family: Actor,Helvetica,Arial,Sans-Serif ;} #s5_loginreg, #s5_search_wrap .inputbox, #s5_nav li a, .s5_mod_h3, .slideInfoZone h2, .s5_is_display h3, .button, button, #s5_va_accordion_inner h3, li.pagenav-next, li.pagenav-prev, #s5_component_wrap h2, #s5_component_wrap h1, .contentheading, .s5_va_element_inner h1, .s5_am_toggler, .highlight_font, #s5_component_wrap h3 {font-family: Open Sans Condensed;}#s5_header_area1, #cboxWrapper {border-bottom:solid 3px #4eb3e6;}.s5_dark_modules, #cboxWrapper {border-top:solid 3px #4eb3e6;}#s5_nav li.active a, #s5_nav li.mainMenuParentBtnFocused a, #s5_nav li:hover a, #s5_bottom_menu_wrap a, .s5_light_modules a, #cboxWrapper a, #s5_loginreg, .s5_h3_first, #s5_va_accordion_inner h3, li.pagenav-next, li.pagenav-prev, .readmore, #s5_component_wrap h2, #s5_component_wrap h1, .contentheading, .s5_va_active:hover, .s5_va_inactive:hover, .s5_va_active, .highlight_color, ul.menu li.current a, .btn-link {color:#4eb3e6;}.s5_va_inactive:hover, #s5_accordion_menu #current a, #current span.s5_accordion_menu_left a.mainlevel, #s5_accordion_menu h3:hover span {color:#4eb3e6 !important;}a.readon, .button, button, p.readmore a, li.pagenav-next, li.pagenav-prev, .s5_light_modules .module_round_box-highlight, .dropdown-menu li > a:hover, .dropdown-menu li > a:focus, .dropdown-submenu:hover > a, .dropdown-menu .active > a, .dropdown-menu .active > a:hover, .nav-list > .active > a, .nav-list > .active > a:hover, .nav-pills > .active > a, .nav-pills > .active > a:hover, .btn-group.open .btn-primary.dropdown-toggle, .btn-primary {background:#4eb3e6;}#s5_loginreg, #s5_nav li a, .s5_mod_h3, .slideInfoZone h2, .s5_is_display h3, .button, button, #s5_va_accordion_inner h3, li.pagenav-next, li.pagenav-prev, #s5_component_wrap h2, #s5_component_wrap h1, .contentheading, .s5_va_element_inner h1, .s5_am_toggler, .uppercase, #s5_component_wrap h3 {text-transform:uppercase;}.S5_parent_subtext {display:none;}#s5_nav li .s5_level1_span2 {height:29px;}#s5_scroll_wrap.s5_wrap {width:100% !important;}/* k2 stuff */div.itemHeader h2.itemTitle, div.catItemHeader h3.catItemTitle, h3.userItemTitle a, #comments-form p, #comments-report-form p, #comments-form span, #comments-form .counter, #comments .comment-author, #comments .author-homepage,#comments-form p, #comments-form #comments-form-buttons, #comments-form #comments-form-error, #comments-form #comments-form-captcha-holder {font-family: Actor,Helvetica,Arial,Sans-Serif ;} .s5_wrap{width:94%;} /style>/head>body ids5_body>div ids5_scrolltotop>/div>div classs5_light_modules>!-- Top Vertex Calls -->!-- Call top bar for mobile devices if layout is responsive --> !-- s5_responsive_mobile_top_bar_spacer must be called to keep a space at the top of the page since s5_responsive_mobile_top_bar_wrap is position absolute. -->div ids5_responsive_mobile_top_bar_spacer>/div>!-- s5_responsive_mobile_top_bar_wrap must be called off the page and not with display:none or it will cause issues with the togglers. -->div ids5_responsive_mobile_top_bar_wrap stylemargin-top:-50000px;position:absolute;z-index:20;top:0px> div ids5_responsive_mobile_top_bar classs5_responsive_mobile_bar_light> div ids5_responsive_mobile_toggle_click_menu styledisplay:block;float:left> span>/span> /div> div ids5_responsive_mobile_bar_active> span> Glen Akins – Digital Design Guru by day – Ultimate Hacker by night /span> /div> div ids5_responsive_mobile_toggle_click_login styledisplay:none;float:right> span>/span> /div> div ids5_responsive_mobile_toggle_click_register styledisplay:none;float:right> span>/span> /div> div ids5_responsive_mobile_toggle_click_search styledisplay:block;float:right> span>/span> /div> div styleclear:both;height:0px>/div> /div> div ids5_responsive_modile_drop_down_wrap classs5_responsive_modile_drop_down_wrap_loading> div ids5_responsive_mobile_drop_down_menu> div classs5_responsive_mobile_drop_down_inner style-webkit-box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);-moz-box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);> ul idmobile_menu classmenu>li classdeeper parent>a hrefhttp://valentfx.com/>Home/a>/li>li>a hrefhttp://valentfx.com/fpga-logi-family/>Products/a>ul > li>a hrefhttp://valentfx.com/home/kiwisdr/>KiwiSDR/a>/li> li>a hrefhttp://valentfx.com/logi-bone/>LOGI Bone (retired)/a>/li> li>a hrefhttp://valentfx.com/logi-pi/>LOGI Pi (retired)/a>/li> li>a hrefhttp://valentfx.com/logi-edu/>LOGI EDU (retired)/a>/li> li>a hrefhttp://valentfx.com/logi-cam/>LOGI Cam (retired)/a>/li>/ul>/li>li>a hrefhttp://valentfx.com/vanilla>Forums/a>/li>li>a hrefhttp://valentfx.com/category/logi/>LOGI Blog/a>/li>li>a hrefhttp://wiki.valentfx.com>Wiki/a>/li>li>a hrefhttps://www.youtube.com/channel/UCDt1_Vd3ECYYhVIT1fM06Nw/videos>LOGI Videos/a>/li>li>a hrefhttp://valentfx.com/skeleton>Skeleton Editor/a>/li>li>a hrefhttp://valentfx.com/contact-form/>Contact Us/a>/li>/ul> /div> /div> div ids5_responsive_mobile_drop_down_search> div classs5_responsive_mobile_drop_down_inner style-webkit-box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);-moz-box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);> form methodpost actionhttp://valentfx.com/> input typetext onfocusif (this.valueSearch...) this.value; onblurif (this.value) this.valueSearch...; valueSearch... ids5_responsive_mobile_search namesearchword /> input typehidden valuesearch nametask /> input typehidden valuecom_search nameoption /> input typehidden value1 nameItemid /> /form> /div> /div> div ids5_responsive_mobile_drop_down_login> div classs5_responsive_mobile_drop_down_inner ids5_responsive_mobile_drop_down_login_inner style-webkit-box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);-moz-box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);> /div> /div> div ids5_responsive_mobile_drop_down_register> div classs5_responsive_mobile_drop_down_inner ids5_responsive_mobile_drop_down_register_inner style-webkit-box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);-moz-box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);> /div> /div> /div>/div>script typetext/javascript> var s5_responsive_login_url ; var s5_responsive_register_url ;/script>script typetext/javascript srchttp://valentfx.com/wp-content/themes/s5_corporate_response/js/s5_responsive_mobile_bar.js?t1>/script>!-- Fixed Tabs --> !-- Drop Down --> /div> !-- Body Padding Div Used For Responsive Spacing --> div ids5_body_padding> div ids5_body_wrap classs5_wrap> div ids5_body_wrap_inner> !-- Header --> div ids5_header_area1> div ids5_header_area2> div ids5_header_area_inner> div ids5_header_wrap> div id s5_logo_wrap> img altlogo srchttp://valentfx.com/wp-content/themes/s5_corporate_response/images/s5_logo.png ids5_logo onclickwindow.document.location.hrefhttp://valentfx.com /> /div> div ids5_header_right> div ids5_social_wrap> div ids5_google onclickwindow.open(https://plus.google.com/u/1/111824408781265097095/posts)>/div> div ids5_twitter onclickwindow.open(https://twitter.com/ValentFx)>/div> /div> div styleclear:both; height:0px>/div> /div> div styleclear:both; height:0px>/div> div ids5_menu_wrap> div ids5_menu_wrap_inner> ul ids5_nav classmenu>li classmenu-item menu-item-type-post_type menu-item-object-page menu-item-home menu-item-9>span classs5_level1_span1>span classs5_level1_span2>a hrefhttp://valentfx.com/> span onclickwindow.document.location.hrefhttp://valentfx.com/>Home /span> /a>/span>/span>/li>li classmenu-item menu-item-type-post_type menu-item-object-page menu-item-has-children menu-item-81>span classs5_level1_span1>span classs5_level1_span2>a hrefhttp://valentfx.com/fpga-logi-family/> span onclickwindow.document.location.hrefhttp://valentfx.com/fpga-logi-family/>Products /span> /a>/span>/span>ul stylefloat:left;> li classmenu-item menu-item-type-post_type menu-item-object-page menu-item-521>span classS5_submenu_item>a hrefhttp://valentfx.com/home/kiwisdr/> span onclickwindow.document.location.hrefhttp://valentfx.com/home/kiwisdr/>KiwiSDR/span> /a>/span>/li> li classmenu-item menu-item-type-post_type menu-item-object-page menu-item-33>span classS5_submenu_item>a hrefhttp://valentfx.com/logi-bone/> span onclickwindow.document.location.hrefhttp://valentfx.com/logi-bone/>LOGI Bone (retired)/span> /a>/span>/li> li classmenu-item menu-item-type-post_type menu-item-object-page menu-item-22>span classS5_submenu_item>a hrefhttp://valentfx.com/logi-pi/> span onclickwindow.document.location.hrefhttp://valentfx.com/logi-pi/>LOGI Pi (retired)/span> /a>/span>/li> li classmenu-item menu-item-type-post_type menu-item-object-page menu-item-31>span classS5_submenu_item>a hrefhttp://valentfx.com/logi-edu/> span onclickwindow.document.location.hrefhttp://valentfx.com/logi-edu/>LOGI EDU (retired)/span> /a>/span>/li> li classmenu-item menu-item-type-post_type menu-item-object-page menu-item-32>span classS5_submenu_item>a hrefhttp://valentfx.com/logi-cam/> span onclickwindow.document.location.hrefhttp://valentfx.com/logi-cam/>LOGI Cam (retired)/span> /a>/span>/li>/ul>/li>li classmenu-item menu-item-type-custom menu-item-object-custom menu-item-533>span classs5_level1_span1>span classs5_level1_span2>a hrefhttp://valentfx.com/vanilla> span onclickwindow.document.location.hrefhttp://valentfx.com/vanilla>Forums /span> /a>/span>/span>/li>li classmenu-item menu-item-type-taxonomy menu-item-object-category menu-item-34>span classs5_level1_span1>span classs5_level1_span2>a hrefhttp://valentfx.com/category/logi/> span onclickwindow.document.location.hrefhttp://valentfx.com/category/logi/>LOGI Blog /span> /a>span classS5_parent_subtext onclickwindow.document.location.href"http://valentfx.com/category/logi/">LOGI Boards Blog Posts/span>/span>/span>/li>li classmenu-item menu-item-type-custom menu-item-object-custom menu-item-13>span classs5_level1_span1>span classs5_level1_span2>a hrefhttp://wiki.valentfx.com> span onclickwindow.document.location.hrefhttp://wiki.valentfx.com>Wiki /span> /a>/span>/span>/li>li classmenu-item menu-item-type-custom menu-item-object-custom menu-item-14>span classs5_level1_span1>span classs5_level1_span2>a hrefhttps://www.youtube.com/channel/UCDt1_Vd3ECYYhVIT1fM06Nw/videos> span onclickwindow.document.location.hrefhttps://www.youtube.com/channel/UCDt1_Vd3ECYYhVIT1fM06Nw/videos>LOGI Videos /span> /a>/span>/span>/li>li classmenu-item menu-item-type-custom menu-item-object-custom menu-item-476>span classs5_level1_span1>span classs5_level1_span2>a hrefhttp://valentfx.com/skeleton> span onclickwindow.document.location.hrefhttp://valentfx.com/skeleton>Skeleton Editor /span> /a>/span>/span>/li>li classmenu-item menu-item-type-post_type menu-item-object-page menu-item-72>span classs5_level1_span1>span classs5_level1_span2>a hrefhttp://valentfx.com/contact-form/> span onclickwindow.document.location.hrefhttp://valentfx.com/contact-form/>Contact Us /span> /a>/span>/span>/li>/ul> div styleclear:both; height:0px>/div> /div> /div> div styleclear:both; height:0px>/div> /div> /div> /div> /div> !-- End Header --> div classs5_light_modules> !-- Top Row1 --> !-- End Top Row1 --> !-- Top Row2 --> !-- End Top Row2 --> !-- Top Row3 --> !-- End Top Row3 --> !-- Center area --> div ids5_center_area1> div ids5_center_area2> div ids5_center_area_inner> !-- Above Columns Wrap --> !-- End Above Columns Wrap --> !-- Columns wrap, contains left, right and center columns --> div ids5_columns_wrap> div ids5_columns_wrap_inner> div ids5_center_column_wrap> div ids5_center_column_wrap_inner stylemargin-left:0px; margin-right:301px;> div ids5_component_wrap> div ids5_component_wrap_inner> div classblog-featured>!--%blog_heading%-->div>div classarticle_column stylewidth:100%;float:left;>div classitem> div idpost-214 classpost-214 post type-post status-publish format-standard has-post-thumbnail hentry category-logi tag-logi-bone tag-logi-family tag-logi-news> div classcontentpaneopen_heading>h2> a classcontentpagetitle hrefhttp://valentfx.com/glen-akins-digital-design-guru-by-day-ultimate-hacker-by-night/ titlePermalink to: Glen Akins – Digital Design Guru by day – Ultimate Hacker by night>Glen Akins – Digital Design Guru by day – Ultimate Hacker by night/a> /h2>span classs5_authortext> Written by valentfx /span>div styleclear:both;>/div>/div>div classpublished> Published: 14 Apr 2014 /div> div styleclear:both;height: 15px;>/div>div classs5_contentwrapper>div>img altGlen Akins – Digital Design Guru by day – Ultimate Hacker by night styleposition:relative;float:left;padding-right:10px; srchttp://valentfx.com/wp-content/uploads/2014/04/IMG_5592-XL-150x150.jpg /> p>Glen riding on the Monarch Crest Trail near Salida, Colorado, August 2013. Glen is not a bragger, but we are impressed through and through with his impressive work history, experience and awesome projects. We are especially grateful when such highly qualified professionals are willing to share their wisdom with/p>div classshareaholic-canvas data-appshare_buttons data-titleGlen Akins - Digital Design Guru by day - Ultimate Hacker by night data-linkhttp://valentfx.com/glen-akins-digital-design-guru-by-day-ultimate-hacker-by-night/ data-app-id6392850 data-app-id-namecategory_below_content>/div> div classentry-utility styleheight: 40px;> span classcat-links> span classentry-utility-prep entry-utility-prep-cat-links>Posted in/span> a hrefhttp://valentfx.com/category/logi/ relcategory tag>LOGI/a> /span> span classmeta-sep> /span> span classtag-links> span classentry-utility-prep entry-utility-prep-tag-links>Tagged/span> a hrefhttp://valentfx.com/tag/logi-bone/ reltag>LOGI-Bone/a>, a hrefhttp://valentfx.com/tag/logi-family/ reltag>logi-family/a>, a hrefhttp://valentfx.com/tag/logi-news/ reltag>logi-news/a> /span> span classmeta-sep>|/span> a titleGlen Akins – Digital Design Guru by day – Ultimate Hacker by night hrefhttp://valentfx.com/glen-akins-digital-design-guru-by-day-ultimate-hacker-by-night/ classreadon readmore readmore-link>Read more.../a> /div>div styleclear: both;>/div>!-- .entry-utility --> /div>/div> /div>!-- #post-## --> /div>span classarticle_separator> /span>div classartseperator>/div>div styleclear:both;height:0px>/div>div classitem> div idpost-209 classpost-209 post type-post status-publish format-standard hentry category-logi tag-logi-family tag-logi-news> div classcontentpaneopen_heading>h2> a classcontentpagetitle hrefhttp://valentfx.com/bridging-the-gap-between-cpus-and-fpgas/ titlePermalink to: Bridging the Gap Between CPUs and FPGAs>Bridging the Gap Between CPUs and FPGAs/a> /h2>span classs5_authortext> Written by valentfx /span>div styleclear:both;>/div>/div>div classpublished> Published: 16 Feb 2014 /div> div styleclear:both;height: 15px;>/div>div classs5_contentwrapper>div> p>ValentF(x) sees great potential when using FPGA and embedded CPU technology together. There are many great CPU/MCU based platforms currently available on the market with a wide base of users and potential applications. At some point in an embedded user’s electronics experience there will need to use the capability of an FPGA. We have found/p>div classshareaholic-canvas data-appshare_buttons data-title Bridging the Gap Between CPUs and FPGAs data-linkhttp://valentfx.com/bridging-the-gap-between-cpus-and-fpgas/ data-app-id6392850 data-app-id-namecategory_below_content>/div> div classentry-utility styleheight: 40px;> span classcat-links> span classentry-utility-prep entry-utility-prep-cat-links>Posted in/span> a hrefhttp://valentfx.com/category/logi/ relcategory tag>LOGI/a> /span> span classmeta-sep> /span> span classtag-links> span classentry-utility-prep entry-utility-prep-tag-links>Tagged/span> a hrefhttp://valentfx.com/tag/logi-family/ reltag>logi-family/a>, a hrefhttp://valentfx.com/tag/logi-news/ reltag>logi-news/a> /span> span classmeta-sep>|/span> a titleBridging the Gap Between CPUs and FPGAs hrefhttp://valentfx.com/bridging-the-gap-between-cpus-and-fpgas/ classreadon readmore readmore-link>Read more.../a> /div>div styleclear: both;>/div>!-- .entry-utility --> /div>/div> /div>!-- #post-## --> /div>span classarticle_separator> /span>div classartseperator>/div>div styleclear:both;height:0px>/div>div classitem> div idpost-204 classpost-204 post type-post status-publish format-standard hentry category-logi tag-logi-family tag-logi-news> div classcontentpaneopen_heading>h2> a classcontentpagetitle hrefhttp://valentfx.com/be-a-part-of-the-logi-community-planning/ titlePermalink to: Be a part of the LOGi Community Planning>Be a part of the LOGi Community Planning/a> /h2>span classs5_authortext> Written by valentfx /span>div styleclear:both;>/div>/div>div classpublished> Published: 06 Feb 2014 /div> div styleclear:both;height: 15px;>/div>div classs5_contentwrapper>div> p>We are in the process of planning out how we will setup a LOGi community. We have seen the rise and fall of communities in the past and think that it is crucial that we get it right the first time. We are taking a close look at what seems to be working with other/p>div classshareaholic-canvas data-appshare_buttons data-titleBe a part of the LOGi Community Planning data-linkhttp://valentfx.com/be-a-part-of-the-logi-community-planning/ data-app-id6392850 data-app-id-namecategory_below_content>/div> div classentry-utility styleheight: 40px;> span classcat-links> span classentry-utility-prep entry-utility-prep-cat-links>Posted in/span> a hrefhttp://valentfx.com/category/logi/ relcategory tag>LOGI/a> /span> span classmeta-sep> /span> span classtag-links> span classentry-utility-prep entry-utility-prep-tag-links>Tagged/span> a hrefhttp://valentfx.com/tag/logi-family/ reltag>logi-family/a>, a hrefhttp://valentfx.com/tag/logi-news/ reltag>logi-news/a> /span> span classmeta-sep>|/span> a titleBe a part of the LOGi Community Planning hrefhttp://valentfx.com/be-a-part-of-the-logi-community-planning/ classreadon readmore readmore-link>Read more.../a> /div>div styleclear: both;>/div>!-- .entry-utility --> /div>/div> /div>!-- #post-## --> /div>span classarticle_separator> /span>div classartseperator>/div>div styleclear:both;height:0px>/div>div classitem> div idpost-202 classpost-202 post type-post status-publish format-standard hentry category-logi tag-logi-family tag-logi-news> div classcontentpaneopen_heading>h2> a classcontentpagetitle hrefhttp://valentfx.com/pre-order-logi-boards/ titlePermalink to: Pre-order LOGi Boards>Pre-order LOGi Boards/a> /h2>span classs5_authortext> Written by valentfx /span>div styleclear:both;>/div>/div>div classpublished> Published: 22 Jan 2014 /div> div styleclear:both;height: 15px;>/div>div classs5_contentwrapper>div> p>Missed out on our Kickstarter? Not to worry you can pre-order your LOGi-Boards that will be MFD'd and shipped with the kickstarter boards. The ship date is anticipated to be in April 2014. All payment processing is done securely using trycelery.com. LOGI PRE-ORDER PAGE HERE/p>div classshareaholic-canvas data-appshare_buttons data-title Pre-order LOGi Boards data-linkhttp://valentfx.com/pre-order-logi-boards/ data-app-id6392850 data-app-id-namecategory_below_content>/div> div classentry-utility styleheight: 40px;> span classcat-links> span classentry-utility-prep entry-utility-prep-cat-links>Posted in/span> a hrefhttp://valentfx.com/category/logi/ relcategory tag>LOGI/a> /span> span classmeta-sep> /span> span classtag-links> span classentry-utility-prep entry-utility-prep-tag-links>Tagged/span> a hrefhttp://valentfx.com/tag/logi-family/ reltag>logi-family/a>, a hrefhttp://valentfx.com/tag/logi-news/ reltag>logi-news/a> /span> span classmeta-sep>|/span> a titlePre-order LOGi Boards hrefhttp://valentfx.com/pre-order-logi-boards/ classreadon readmore readmore-link>Read more.../a> /div>div styleclear: both;>/div>!-- .entry-utility --> /div>/div> /div>!-- #post-## --> /div>span classarticle_separator> /span>div classartseperator>/div>div styleclear:both;height:0px>/div>div classitem> div idpost-197 classpost-197 post type-post status-publish format-standard hentry category-logi tag-logi-family tag-logi-news> div classcontentpaneopen_heading>h2> a classcontentpagetitle hrefhttp://valentfx.com/kickstarter-thank-you-logi-backers/ titlePermalink to: Kickstarter – Thank You LOGi-Backers!>Kickstarter – Thank You LOGi-Backers!/a> /h2>span classs5_authortext> Written by valentfx /span>div styleclear:both;>/div>/div>div classpublished> Published: 14 Jan 2014 /div> div styleclear:both;height: 15px;>/div>div classs5_contentwrapper>div> p>Thank you For Supporting our Kickstarter! Hello LOGi-Backers! Well I said you’re amazing, before. I am going to have to say you’re freaking amazing now! Wait, more like Rockstar Freaking Amazing! I never would have expected this and it has been humbling beyond words. We have been working on this for a long/p>div classshareaholic-canvas data-appshare_buttons data-titleKickstarter - Thank You LOGi-Backers! data-linkhttp://valentfx.com/kickstarter-thank-you-logi-backers/ data-app-id6392850 data-app-id-namecategory_below_content>/div> div classentry-utility styleheight: 40px;> span classcat-links> span classentry-utility-prep entry-utility-prep-cat-links>Posted in/span> a hrefhttp://valentfx.com/category/logi/ relcategory tag>LOGI/a> /span> span classmeta-sep> /span> span classtag-links> span classentry-utility-prep entry-utility-prep-tag-links>Tagged/span> a hrefhttp://valentfx.com/tag/logi-family/ reltag>logi-family/a>, a hrefhttp://valentfx.com/tag/logi-news/ reltag>logi-news/a> /span> span classmeta-sep>|/span> a titleKickstarter – Thank You LOGi-Backers! hrefhttp://valentfx.com/kickstarter-thank-you-logi-backers/ classreadon readmore readmore-link>Read more.../a> /div>div styleclear: both;>/div>!-- .entry-utility --> /div>/div> /div>!-- #post-## --> /div>span classarticle_separator> /span>div classartseperator>/div>div styleclear:both;height:0px>/div>div classitem> div idpost-200 classpost-200 post type-post status-publish format-standard hentry category-logi tag-logi-family> div classcontentpaneopen_heading>h2> a classcontentpagetitle hrefhttp://valentfx.com/200/ title>/a> /h2>span classs5_authortext> Written by valentfx /span>div styleclear:both;>/div>/div>div classpublished> Published: 23 Dec 2013 /div> div styleclear:both;height: 15px;>/div>div classs5_contentwrapper>div> p>The subject of Virtual Components was mentioned in the kickstarter comments in regard to the potential of being able to add components to your project when you just don’t have it physically available. The LOGi-Team likes to take suggestions and put them into action and would like to introduce to the first beta version of/p>div classshareaholic-canvas data-appshare_buttons data-title data-linkhttp://valentfx.com/200/ data-app-id6392850 data-app-id-namecategory_below_content>/div> div classentry-utility styleheight: 40px;> span classcat-links> span classentry-utility-prep entry-utility-prep-cat-links>Posted in/span> a hrefhttp://valentfx.com/category/logi/ relcategory tag>LOGI/a> /span> span classmeta-sep> /span> span classtag-links> span classentry-utility-prep entry-utility-prep-tag-links>Tagged/span> a hrefhttp://valentfx.com/tag/logi-family/ reltag>logi-family/a> /span> span classmeta-sep>|/span> a title hrefhttp://valentfx.com/200/ classreadon readmore readmore-link>Read more.../a> /div>div styleclear: both;>/div>!-- .entry-utility --> /div>/div> /div>!-- #post-## --> /div>span classarticle_separator> /span>div classartseperator>/div>div styleclear:both;height:0px>/div>div classitem> div idpost-194 classpost-194 post type-post status-publish format-standard hentry category-logi tag-logi-family tag-logi-news> div classcontentpaneopen_heading>h2> a classcontentpagetitle hrefhttp://valentfx.com/logi-boards-kickstarter-its-live/ titlePermalink to: LOGi-Boards – Kickstarter – It’s Live!>LOGi-Boards – Kickstarter – It’s Live!/a> /h2>span classs5_authortext> Written by valentfx /span>div styleclear:both;>/div>/div>div classpublished> Published: 12 Dec 2013 /div> div styleclear:both;height: 15px;>/div>div classs5_contentwrapper>div> p>The LOGi-Boards are live on kickstarter! Hurry over and grab an Early Adopter board. The early adopters will give the user access to the first limited MFG run and will ship before our main MFG run is done. LOGi Kickstarter Page/p>div classshareaholic-canvas data-appshare_buttons data-title LOGi-Boards - Kickstarter - It's Live! data-linkhttp://valentfx.com/logi-boards-kickstarter-its-live/ data-app-id6392850 data-app-id-namecategory_below_content>/div> div classentry-utility styleheight: 40px;> span classcat-links> span classentry-utility-prep entry-utility-prep-cat-links>Posted in/span> a hrefhttp://valentfx.com/category/logi/ relcategory tag>LOGI/a> /span> span classmeta-sep> /span> span classtag-links> span classentry-utility-prep entry-utility-prep-tag-links>Tagged/span> a hrefhttp://valentfx.com/tag/logi-family/ reltag>logi-family/a>, a hrefhttp://valentfx.com/tag/logi-news/ reltag>logi-news/a> /span> span classmeta-sep>|/span> a titleLOGi-Boards – Kickstarter – It’s Live! hrefhttp://valentfx.com/logi-boards-kickstarter-its-live/ classreadon readmore readmore-link>Read more.../a> /div>div styleclear: both;>/div>!-- .entry-utility --> /div>/div> /div>!-- #post-## --> /div>span classarticle_separator> /span>div classartseperator>/div>div styleclear:both;height:0px>/div>div classitem> div idpost-174 classpost-174 post type-post status-publish format-standard hentry category-logi tag-logi-family> div classcontentpaneopen_heading>h2> a classcontentpagetitle hrefhttp://valentfx.com/logi-wiki-for-documentation/ titlePermalink to: LOGi Wiki for Documentation>LOGi Wiki for Documentation/a> /h2>span classs5_authortext> Written by valentfx /span>div styleclear:both;>/div>/div>div classpublished> Published: 14 Sep 2013 /div> div styleclear:both;height: 15px;>/div>div classs5_contentwrapper>div> p> We have kicked off the valentfx FPGA LOGi Wiki. We will be moving all documentation data to the wiki. www.wiki.valentfx.com /p>div classshareaholic-canvas data-appshare_buttons data-title LOGi Wiki for Documentation data-linkhttp://valentfx.com/logi-wiki-for-documentation/ data-app-id6392850 data-app-id-namecategory_below_content>/div> div classentry-utility styleheight: 40px;> span classcat-links> span classentry-utility-prep entry-utility-prep-cat-links>Posted in/span> a hrefhttp://valentfx.com/category/logi/ relcategory tag>LOGI/a> /span> span classmeta-sep> /span> span classtag-links> span classentry-utility-prep entry-utility-prep-tag-links>Tagged/span> a hrefhttp://valentfx.com/tag/logi-family/ reltag>logi-family/a> /span> span classmeta-sep>|/span> a titleLOGi Wiki for Documentation hrefhttp://valentfx.com/logi-wiki-for-documentation/ classreadon readmore readmore-link>Read more.../a> /div>div styleclear: both;>/div>!-- .entry-utility --> /div>/div> /div>!-- #post-## --> /div>span classarticle_separator> /span>div classartseperator>/div>div styleclear:both;height:0px>/div>div classitem> div idpost-170 classpost-170 post type-post status-publish format-standard hentry category-logi tag-logi-family> div classcontentpaneopen_heading>h2> a classcontentpagetitle hrefhttp://valentfx.com/logi-project-contest-win-a-board-to-create-your-project/ titlePermalink to: LOGi Project Contest – Win a board to create your Project>LOGi Project Contest – Win a board to create your Project/a> /h2>span classs5_authortext> Written by valentfx /span>div styleclear:both;>/div>/div>div classpublished> Published: 27 Aug 2013 /div> div styleclear:both;height: 15px;>/div>div classs5_contentwrapper>div> p>LOGi Project Contest – Win a board to create your Project The LOGi FPGA boards were designed with the goal of unifying the use of existing hardware modules and embedded development platforms. The use of Arduino shields, PMOD modules FPGA technology in conjunction with high performance CPU technology creates a framework for a wide array/p>div classshareaholic-canvas data-appshare_buttons data-title LOGi Project Contest - Win a board to create your Project data-linkhttp://valentfx.com/logi-project-contest-win-a-board-to-create-your-project/ data-app-id6392850 data-app-id-namecategory_below_content>/div> div classentry-utility styleheight: 40px;> span classcat-links> span classentry-utility-prep entry-utility-prep-cat-links>Posted in/span> a hrefhttp://valentfx.com/category/logi/ relcategory tag>LOGI/a> /span> span classmeta-sep> /span> span classtag-links> span classentry-utility-prep entry-utility-prep-tag-links>Tagged/span> a hrefhttp://valentfx.com/tag/logi-family/ reltag>logi-family/a> /span> span classmeta-sep>|/span> a titleLOGi Project Contest – Win a board to create your Project hrefhttp://valentfx.com/logi-project-contest-win-a-board-to-create-your-project/ classreadon readmore readmore-link>Read more.../a> /div>div styleclear: both;>/div>!-- .entry-utility --> /div>/div> /div>!-- #post-## --> /div>span classarticle_separator> /span>div classartseperator>/div>div styleclear:both;height:0px>/div>div classitem> div idpost-180 classpost-180 post type-post status-publish format-standard hentry category-logi tag-logi-family tag-makerfaire> div classcontentpaneopen_heading>h2> a classcontentpagetitle hrefhttp://valentfx.com/maker-faire-2013-and-the-logi-boards/ titlePermalink to: Maker Faire 2013 and the LOGi Boards>Maker Faire 2013 and the LOGi Boards/a> /h2>span classs5_authortext> Written by valentfx /span>div styleclear:both;>/div>/div>div classpublished> Published: 14 Aug 2013 /div> div styleclear:both;height: 15px;>/div>div classs5_contentwrapper>div> p> The LOGi boards will be returning to their home roots, Maker Faire New York 2013. Last year we took the alpha version FPGA Mark-1, now LOGi-Mark1, to Maker Faire to see what other FPGA and electronics enthusiasts would think of the design. We got a lot of great feedback and now have some/p>div classshareaholic-canvas data-appshare_buttons data-title Maker Faire 2013 and the LOGi Boards data-linkhttp://valentfx.com/maker-faire-2013-and-the-logi-boards/ data-app-id6392850 data-app-id-namecategory_below_content>/div> div classentry-utility styleheight: 40px;> span classcat-links> span classentry-utility-prep entry-utility-prep-cat-links>Posted in/span> a hrefhttp://valentfx.com/category/logi/ relcategory tag>LOGI/a> /span> span classmeta-sep> /span> span classtag-links> span classentry-utility-prep entry-utility-prep-tag-links>Tagged/span> a hrefhttp://valentfx.com/tag/logi-family/ reltag>logi-family/a>, a hrefhttp://valentfx.com/tag/makerfaire/ reltag>makerfaire/a> /span> span classmeta-sep>|/span> a titleMaker Faire 2013 and the LOGi Boards hrefhttp://valentfx.com/maker-faire-2013-and-the-logi-boards/ classreadon readmore readmore-link>Read more.../a> /div>div styleclear: both;>/div>!-- .entry-utility --> /div>/div> /div>!-- #post-## --> /div>span classarticle_separator> /span>div classartseperator>/div>div styleclear:both;height:0px>/div>div classpagination styletext-align:center;><< span classpagenav>Start/span> < span classpagenav>Prev/span> span classpagenav current>1/span> a hrefhttp://valentfx.com/tag/logi-family/page/2/ classpagenav inactive>2/a> a titleNext hrefhttp://valentfx.com/tag/logi-family/page/2/ classpagenav>Next/a> > a titleEnd hrefhttp://valentfx.com/tag/logi-family/page/2/ classpagenav>End/a> >>/div>div classpagination_counter styletext-align:center;> Page 1 of 2 /div>/div>/div>/div> div styleclear:both;height:0px>/div> /div> /div> /div> /div> !-- Left column --> !-- End Left column --> !-- Right column --> div ids5_right_column_wrap classs5_float_left stylewidth:301px; margin-left:-301px> div ids5_right_column_wrap_inner> div ids5_right_wrap classs5_float_left stylewidth:301px> div classmodule_round_box_outer>div classmodule_round_box >div classs5_module_box_1>div classs5_module_box_2> div classs5_mod_h3_outer>h3 classs5_mod_h3>span classs5_h3_outer>span classs5_h3_first>LOGI/span> Blog Posts/span>/h3>/div>div classs5_mod_content> ul> li> a hrefhttp://valentfx.com/kiwisdr-on-kickstarter/>KiwiSDR on Kickstarter/a> /li> li> a hrefhttp://valentfx.com/logi-boards-are-back-in-stock/>LOGI boards are back in stock/a> /li> li> a hrefhttp://valentfx.com/gradient-filter-implementation-on-fpga-part-2-implementing-gradient-filter/>Gradient Filter implementation on FPGA : Part 2 Implementing gradient Filter/a> /li> li> a hrefhttp://valentfx.com/gradient-filter-implementation-on-an-fpga/>Gradient Filter implementation on an FPGA – Part 1 Interfacing an FPGA with a camera/a> /li> li> a hrefhttp://valentfx.com/obstacle-detection-using-laser-and-image-processing/>Obstacle detection using Laser and Image Processing/a> /li> /ul> /div>div classs5_clear_float styleclear:both; height:0px>/div>/div>/div>/div>/div>div classmodule_round_box_outer>div classmodule_round_box >div classs5_module_box_1>div classs5_module_box_2>div classs5_mod_content>!--span classs5_h3_first>Tags/span>-->div classtagcloud>a hrefhttp://valentfx.com/tag/logi-bone/ classtag-cloud-link tag-link-5 tag-link-position-1 stylefont-size: 15.179487179487pt; aria-labelLOGI-Bone (4 items)>LOGI-Bone/a>a hrefhttp://valentfx.com/tag/logi-cam/ classtag-cloud-link tag-link-9 tag-link-position-2 stylefont-size: 8pt; aria-labellogi-cam (1 item)>logi-cam/a>a hrefhttp://valentfx.com/tag/logi-family/ classtag-cloud-link tag-link-11 tag-link-position-3 stylefont-size: 22pt; aria-labellogi-family (11 items)>logi-family/a>a hrefhttp://valentfx.com/tag/logi-mark/ classtag-cloud-link tag-link-10 tag-link-position-4 stylefont-size: 8pt; aria-labellogi-mark (1 item)>logi-mark/a>a hrefhttp://valentfx.com/tag/logi-mark1/ classtag-cloud-link tag-link-8 tag-link-position-5 stylefont-size: 8pt; aria-labellogi-mark1 (1 item)>logi-mark1/a>a hrefhttp://valentfx.com/tag/logi-news/ classtag-cloud-link tag-link-6 tag-link-position-6 stylefont-size: 22pt; aria-labellogi-news (11 items)>logi-news/a>a hrefhttp://valentfx.com/tag/logi-pi/ classtag-cloud-link tag-link-4 tag-link-position-7 stylefont-size: 15.179487179487pt; aria-labelLOGI-Pi (4 items)>LOGI-Pi/a>a hrefhttp://valentfx.com/tag/logi-projects/ classtag-cloud-link tag-link-7 tag-link-position-8 stylefont-size: 8pt; aria-labellogi-projects (1 item)>logi-projects/a>a hrefhttp://valentfx.com/tag/makerfaire/ classtag-cloud-link tag-link-12 tag-link-position-9 stylefont-size: 8pt; aria-labelmakerfaire (1 item)>makerfaire/a>/div>/div>div classs5_clear_float styleclear:both; height:0px>/div>/div>/div>/div>/div>div classmodule_round_box_outer>div classmodule_round_box >div classs5_module_box_1>div classs5_module_box_2>div classs5_mod_h3_outer>h3 classs5_mod_h3>span classs5_h3_outer>span classs5_h3_first>LOGI/span> at Element14/span>/h3>/div>div classs5_mod_content> div classtextwidget>h2 styletext-align: center;> a hrefhttp://element14.com/LOGI>You can now order the LOGI Boards at Element14!/a>/h2>p styletext-align: center;> a hrefhttps://lh4.googleusercontent.com/QeVPbZYeYTg98WXOx_lTmwLtf-co_qxO4BGObUCMPfdWxa_iYROyhemJ-QgRQ2hBWJ5FM2sRlBo1h9jARKsoW6BRARzB4SuVtYi2QO99vTHrWPvd9ZPLu-dx2524S17UN56zc8g stylewidth: 300px; height: 265px; />/a>/p>/div> /div>div classs5_clear_float styleclear:both; height:0px>/div>/div>/div>/div>/div> /div> /div> /div> !-- End Right column --> /div> /div> !-- End columns wrap --> !-- Below Columns Wrap --> !-- End Below Columns Wrap --> /div> /div> /div> !-- End Center area --> !-- Bottom Row1 --> div ids5_bottom_row1_area1> div ids5_bottom_row1_area2> div ids5_bottom_row1_area_inner> div ids5_bottom_row1_wrap> div ids5_bottom_row1> div ids5_bottom_row1_inner> div ids5_pos_bottom_row1_1 classs5_float_left stylewidth:50%> div classmodule_round_box_outer>div classmodule_round_box>div classs5_module_box_1>div classs5_module_box_2>div classs5_mod_content>!---->ul>li>a href/vanilla/profile/tamasp>tamasp/a> joined./li>li>a href/vanilla/profile/sebadalessandro>sebadalessandro/a> joined./li>li>a href/vanilla/profile/JimGat>JimGat/a> joined./li>/ul>/div>div classs5_clear_float styleclear:both; height:0px>/div>/div>/div>/div>/div> /div> div ids5_pos_bottom_row1_2 classs5_float_left stylewidth:50%> div classmodule_round_box_outer>div classmodule_round_box>div classs5_module_box_1>div classs5_module_box_2>div classs5_mod_content>!---->ul>li>a hrefhttp://valentfx.com/vanilla/discussion/210/logi-pi-2>LOGI-PI-2/a>/li>li>a hrefhttp://valentfx.com/vanilla/discussion/239/problem-with-running-blink-test.-init-pin-not-going-high>Problem with running blink test. Init pin not going high!/a>/li>li>a hrefhttp://valentfx.com/vanilla/discussion/2167/wiki>WIKI/a>/li>li>a hrefhttp://valentfx.com/vanilla/discussion/2166/pcb-de-filtro-de-interrup%C3%A7%C3%A3o-de-banda-diy-mw>PCB de filtro de interrupção de banda DIY MW/a>/li>li>a hrefhttp://valentfx.com/vanilla/discussion/1543/logi_loader-memory-access-error-with-r1.5.1-on-raspi3b->logi_loader memory access error with R1.5.1 on Raspi3b+/a>/li>/ul>/div>div classs5_clear_float styleclear:both; height:0px>/div>/div>/div>/div>/div> /div> div styleclear:both; height:0px>/div> /div> /div> /div> /div> /div> /div> !-- End Bottom Row1 --> !-- Bottom Row2 --> !-- End Bottom Row2 --> /div> div classs5_dark_modules> !-- Bottom Row3 --> !-- End Bottom Row3 --> !-- Footer Area --> div ids5_footer_area1> div ids5_footer_area2> div ids5_footer_area_inner> div ids5_bottom_menu_wrap> /div> div ids5_footer> span classfooterc>Copyright © 2024. ValentF(x)./span> /div> div styleclear:both; height:0px>/div> /div> /div> /div> !-- End Footer Area --> !-- Bottom Vertex Calls --> !-- Page scroll, tooltips, multibox, and ie6 warning --> !-- Start compression if enabled --> div ids5_scroll_wrap classs5_wrap> script typetext/javascript>/*! Jquery scrollto function */(function(a,c){var b(function(){var dc(a.documentElement),fc(a.body),e;if(d.scrollTop()){return d}else{ef.scrollTop();if(f.scrollTop(e+1).scrollTop()e){return d}else{return f.scrollTop(e)}}}());c.fn.smoothScrollfunction(d){d~~d||400;return this.find(ahref*#).click(function(f){var gthis.hash,ec(g);if(location.pathname.replace(/^\//,)this.pathname.replace(/^\//,)&&location.hostnamethis.hostname){if(e.length){f.preventDefault();b.stop().animate({scrollTop:e.offset().top},d,function(){location.hashg})}}}).end()}}(document,jQuery));jQuery(document).ready(function(){ jQuery(html).smoothScroll(700); });/script>div ids5_scrolltopvar>a href#s5_scrolltotop classs5_scrolltotop>/a>/div> /div> script typetext/javascript> jQuery(document).ready(function($){ $(.s5mb).each(function(i,z){if(!z.getAttribute(rel))z.setAttribute(rel,me);}); }(jQuery)); var s5mbox {}; jQuery(document).ready(function($){ window.s5mbox new multiBox({ mbClass: .s5mb,//class you need to add links that you want to trigger multiBox with (remember and update CSS files) container: $(document.body),//where to inject multiBox path: http://valentfx.com/wp-content/themes/s5_corporate_response/js/multibox/,//path to mp3player and flvplayer etc useOverlay: true,//detect overlay setting maxSize: {w:600, h:400},//max dimensions (width,height) - set to null to disable resizing movieSize: {w:400, h:300}, addDownload: false,//do you want the files to be downloadable? descClassName: s5_multibox,//the class name of the description divs pathToDownloadScript: http://valentfx.com/wp-content/themes/s5_corporate_response/js/multibox/forceDownload.asp,//if above is true, specify path to download script (classicASP and ASP.NET versions included) addRollover: true,//add rollover fade to each multibox link addOverlayIcon: false,//adds overlay icons to images within multibox links addChain: false,//cycle through all images fading them out then in recalcTop: true,//subtract the height of controls panel from top position addTips: true,//adds MooTools built in Tips class to each element (see: http://mootools.net/docs/Plugins/Tips) autoOpen: 0//to auto open a multiBox element on page load change to (1, 2, or 3 etc) }); }(jQuery)); Eventx.onResizend(function(){ s5mbox.resize(); }); /script>script typetext/javascript>//!CDATA var s5_lazyload all;//>/script>script typetext/javascript srchttp://valentfx.com/wp-content/themes/s5_corporate_response/js/lazy_load.js>/script> script typetext/javascript>//!CDATA var s5_resize_columns all; var s5_resize_columns_delay 500; var s5_resize_columns_small_tablets reduce;//>/script>script typetext/javascript srchttp://valentfx.com/wp-content/themes/s5_corporate_response/js/s5_columns_equalizer.js>/script>!-- Additional scripts to load just before closing body tag --> !-- Info Slide script - JS and CSS called in header --> script typetext/javascript> new Slidex(); /script> !-- File compression. Needs to be called last on this file --> !-- Responsive Bottom Mobile Bar --> !-- Call bottom bar for mobile devices if layout is responsive --> div ids5_responsive_mobile_bottom_bar_outer styledisplay:none> div ids5_responsive_mobile_bottom_bar classs5_responsive_mobile_bar_light> !-- Call mobile links if links are enabled and cookie is currently set to mobile --> div ids5_responsive_switch_mobile> a ids5_responsive_switch href?s5_responsive_switch_0>Desktop Version/a> /div> div ids5_responsive_mobile_scroll> a href#s5_scrolltotop classs5_scrolltotop>/a> /div> div styleclear:both;height:0px>/div> /div> /div>!-- Call bottom bar for all devices if user has chosen to see desktop version -->!-- ngg_resource_manager_marker -->script typetext/javascript srchttps://s0.wp.com/wp-content/js/devicepx-jetpack.js?ver202441>/script>script typetext/javascript srchttps://secure.gravatar.com/js/gprofiles.js?ver2024Octaa>/script>script typetext/javascript>/* !CDATA */var WPGroHo {my_hash:};/* > *//script>script typetext/javascript srchttp://valentfx.com/wp-content/plugins/jetpack/modules/wpgroho.js?ver5.1.19>/script>script typetext/javascript srchttp://valentfx.com/wp-includes/js/wp-embed.min.js?ver5.1.19>/script> script typetext/javascript> var vanilla_forum_url http://valentfx.com/vanilla; (function() { var vanilla_count document.createElement(script); vanilla_count.type text/javascript; vanilla_count.src vanilla_forum_url + /js/count.js; (document.getElementsByTagName(head)0 || document.getElementsByTagName(body)0).appendChild(vanilla_count); })(); /script> div styledisplay:none> /div>script typetext/javascript srchttps://stats.wp.com/e-202441.js asyncasync deferdefer>/script>script typetext/javascript> _stq window._stq || ; _stq.push( view, {v:ext,j:1:7.9.3,blog:67039155,post:0,tz:0,srv:valentfx.com} ); _stq.push( clickTrackerInit, 67039155, 0 );/script> /div> /div> /div>/div>!-- End Body Padding -->/body>/html>!-- WP Super Cache is installed but broken. The constant WPCACHEHOME must be set in the file wp-config.php and point at the WP Super Cache plugin directory. -->!-- Dynamic page generated in 1728262893.025 seconds. -->!-- Cached page generated by WP-Super-Cache on 2024-10-07 01:01:33 -->!-- super cache -->
Port 443
HTTP/1.1 200 OKDate: Mon, 07 Oct 2024 02:18:55 GMTServer: ApacheUpgrade: h2,h2cConnection: UpgradeLast-Modified: Sun, 06 Oct 2024 16:57:51 GMTAccept-Ranges: bytesContent-Length: 157948Vary: Accept-EncodingContent-Type: text/html; charsetUTF-8 !DOCTYPE HTML>html xmlnshttp://www.w3.org/1999/xhtml xml:langen-US langen-US>head>title>Alternatives to VHDL/Verilog for Hardware Design on ValentF(x)/title>link hrefhttps://valentfx.com/wp-content/themes/s5_corporate_response/favicon.ico relshortcut icon typeimage/x-icon />link reldns-prefetch href//s0.wp.com />link reldns-prefetch href//secure.gravatar.com />link reldns-prefetch href//s.w.org />link reldns-prefetch href//k4z6w9b5.stackpathcdn.com />link reldns-prefetch href//cdn.shareaholic.net />link reldns-prefetch href//www.shareaholic.net />link reldns-prefetch href//analytics.shareaholic.com />link reldns-prefetch href//recs.shareaholic.com />link reldns-prefetch href//go.shareaholic.com />link reldns-prefetch href//partner.shareaholic.com />link relalternate typeapplication/rss+xml titleValentF(x) » Feed hrefhttps://valentfx.com/feed/ />!-- Powered by Shareaholic; Grow your Audience faster! - https://www.shareaholic.com -->link relpreload href//cdn.shareaholic.net/assets/pub/shareaholic.js asscript/>script data-no-minify1 data-cfasyncfalse> //!CDATA _SHR_SETTINGS {endpoints:{local_recs_url:https:\/\/valentfx.com\/wp-admin\/admin-ajax.php?actionshareaholic_permalink_related,ajax_url:https:\/\/valentfx.com\/wp-admin\/admin-ajax.php,share_counts_url:https:\/\/valentfx.com\/wp-admin\/admin-ajax.php?actionshareaholic_share_counts_api},site_id:f0d56b101b514a134f734b669f338316,url_components:{year:2014,monthnum:10,day:28,hour:18,minute:54,second:34,post_id:435,postname:alternatives-to-vhdlverilog-for-hardware-design,category:logi}}; //>/script>script data-no-minify1 data-cfasyncfalse src//cdn.shareaholic.net/assets/pub/shareaholic.js data-shr-siteidf0d56b101b514a134f734b669f338316 async >/script>!-- Shareaholic Content Tags -->meta nameshareaholic:site_name contentValentF(x) />meta nameshareaholic:language contenten-US />meta nameshareaholic:url contenthttps://valentfx.com/alternatives-to-vhdlverilog-for-hardware-design/ />meta nameshareaholic:keywords contentcat:logi, type:post />meta nameshareaholic:article_published_time content2014-10-28T18:54:34+00:00 />meta nameshareaholic:article_modified_time content2014-10-28T18:54:34+00:00 />meta nameshareaholic:shareable_page contenttrue />meta nameshareaholic:article_author_name contentvalentfx />meta nameshareaholic:site_id contentf0d56b101b514a134f734b669f338316 />meta nameshareaholic:wp_version content8.13.13 />meta nameshareaholic:image contenthttps://lh6.googleusercontent.com/gyRlcdeJ3k5AYpWgGUV9DJlnzlB214fZVxkO89Uu0_Jqcd28KyqYEX-4-NSrLrNOuRM9lleY8b7JAW_G6RoiXpybLaOpN4M1uQCV9TbSz5GR0CJVadCDhcSPgJ54jeuAEw />!-- Shareaholic Content Tags End -->!-- Shareaholic Open Graph Tags -->meta propertyog:image contenthttps://lh6.googleusercontent.com/gyRlcdeJ3k5AYpWgGUV9DJlnzlB214fZVxkO89Uu0_Jqcd28KyqYEX-4-NSrLrNOuRM9lleY8b7JAW_G6RoiXpybLaOpN4M1uQCV9TbSz5GR0CJVadCDhcSPgJ54jeuAEw />!-- Shareaholic Open Graph Tags End --> script typetext/javascript> window._wpemojiSettings {baseUrl:https:\/\/s.w.org\/images\/core\/emoji\/11.2.0\/72x72\/,ext:.png,svgUrl:https:\/\/s.w.org\/images\/core\/emoji\/11.2.0\/svg\/,svgExt:.svg,source:{concatemoji:https:\/\/valentfx.com\/wp-includes\/js\/wp-emoji-release.min.js?ver5.1.19}}; !function(e,a,t){var n,r,o,ia.createElement(canvas),pi.getContext&&i.getContext(2d);function s(e,t){var aString.fromCharCode;p.clearRect(0,0,i.width,i.height),p.fillText(a.apply(this,e),0,0);ei.toDataURL();return p.clearRect(0,0,i.width,i.height),p.fillText(a.apply(this,t),0,0),ei.toDataURL()}function c(e){var ta.createElement(script);t.srce,t.defert.typetext/javascript,a.getElementsByTagName(head)0.appendChild(t)}for(oArray(flag,emoji),t.supports{everything:!0,everythingExceptFlag:!0},r0;ro.length;r++)t.supportsorfunction(e){if(!p||!p.fillText)return!1;switch(p.textBaselinetop,p.font600 32px Arial,e){caseflag:return s(55356,56826,55356,56819,55356,56826,8203,55356,56819)?!1:!s(55356,57332,56128,56423,56128,56418,56128,56421,56128,56430,56128,56423,56128,56447,55356,57332,8203,56128,56423,8203,56128,56418,8203,56128,56421,8203,56128,56430,8203,56128,56423,8203,56128,56447);caseemoji:return!s(55358,56760,9792,65039,55358,56760,8203,9792,65039)}return!1}(or),t.supports.everythingt.supports.everything&&t.supportsor,flag!or&&(t.supports.everythingExceptFlagt.supports.everythingExceptFlag&&t.supportsor);t.supports.everythingExceptFlagt.supports.everythingExceptFlag&&!t.supports.flag,t.DOMReady!1,t.readyCallbackfunction(){t.DOMReady!0},t.supports.everything||(nfunction(){t.readyCallback()},a.addEventListener?(a.addEventListener(DOMContentLoaded,n,!1),e.addEventListener(load,n,!1)):(e.attachEvent(onload,n),a.attachEvent(onreadystatechange,function(){completea.readyState&&t.readyCallback()})),(nt.source||{}).concatemoji?c(n.concatemoji):n.wpemoji&&n.twemoji&&(c(n.twemoji),c(n.wpemoji)))}(window,document,window._wpemojiSettings); /script> style typetext/css>img.wp-smiley,img.emoji { display: inline !important; border: none !important; box-shadow: none !important; height: 1em !important; width: 1em !important; margin: 0 .07em !important; vertical-align: -0.1em !important; background: none !important; padding: 0 !important;}/style> link relstylesheet idstyle.css-css hrefhttps://valentfx.com/wp-content/themes/s5_corporate_response/style.css?ver5.1.19 typetext/css mediaall />link relstylesheet idwp-block-library-css hrefhttps://valentfx.com/wp-includes/css/dist/block-library/style.min.css?ver5.1.19 typetext/css mediaall />link relstylesheet idgithub-embed-css hrefhttps://valentfx.com/wp-content/plugins/github-embed/css/github-embed.css?ver5.1.19 typetext/css mediaall />link relstylesheet idjetpack_css-css hrefhttps://valentfx.com/wp-content/plugins/jetpack/css/jetpack.css?ver7.9.3 typetext/css mediaall />script typetext/javascript srchttps://valentfx.com/wp-includes/js/jquery/jquery.js?ver1.12.4>/script>script typetext/javascript srchttps://valentfx.com/wp-includes/js/jquery/jquery-migrate.min.js?ver1.4.1>/script>script typetext/javascript srchttps://valentfx.com/wp-content/themes/s5_corporate_response/js/jquery/jquery-ui.min.js?ver5.1.19>/script>script typetext/javascript srchttps://valentfx.com/wp-content/themes/s5_corporate_response/js/jquery/jquery-noconflict.js?ver5.1.19>/script>link relhttps://api.w.org/ hrefhttps://valentfx.com/wp-json/ />link relEditURI typeapplication/rsd+xml titleRSD hrefhttps://valentfx.com/xmlrpc.php?rsd />link relwlwmanifest typeapplication/wlwmanifest+xml hrefhttps://valentfx.com/wp-includes/wlwmanifest.xml /> link relprev titleLOGI Kickstarter VIP Page hrefhttps://valentfx.com/logi-kickstarter-vip-page/ />link relnext titleHardware/Software Co-design with the LOGI Boards hrefhttps://valentfx.com/hardwaresoftware-co-design-with-the-logi-boards/ />meta namegenerator contentWordPress 5.1.19 />link relcanonical hrefhttps://valentfx.com/alternatives-to-vhdlverilog-for-hardware-design/ />link relshortlink hrefhttps://wp.me/p4xhWH-71 />link relalternate typeapplication/json+oembed hrefhttps://valentfx.com/wp-json/oembed/1.0/embed?urlhttps%3A%2F%2Fvalentfx.com%2Falternatives-to-vhdlverilog-for-hardware-design%2F />link relalternate typetext/xml+oembed hrefhttps://valentfx.com/wp-json/oembed/1.0/embed?urlhttps%3A%2F%2Fvalentfx.com%2Falternatives-to-vhdlverilog-for-hardware-design%2F&formatxml />link relstylesheet href/wp-content/plugins/widgetkit/cache/widgetkit-fbef263d.css />script src/wp-content/plugins/widgetkit/cache/widgetkit-8a8c6411.js>/script>!-- meta nameNextGEN version3.2.23 /> -->link reldns-prefetch href//v0.wordpress.com/>!-- Jetpack Open Graph Tags -->meta propertyog:type contentarticle />meta propertyog:title contentAlternatives to VHDL/Verilog for Hardware Design />meta propertyog:url contenthttps://valentfx.com/alternatives-to-vhdlverilog-for-hardware-design/ />meta propertyog:description contentAlternatives to VHDL/Verilog for Hardware Design Hardware description languages (HDLs) are a category of programming languages that target digital hardware design. These languages provides s… />meta propertyarticle:published_time content2014-10-28T18:54:34+00:00 />meta propertyarticle:modified_time content2014-10-28T18:54:34+00:00 />meta propertyog:site_name contentValentF(x) />meta propertyog:image contenthttps://lh6.googleusercontent.com/gyRlcdeJ3k5AYpWgGUV9DJlnzlB214fZVxkO89Uu0_Jqcd28KyqYEX-4-NSrLrNOuRM9lleY8b7JAW_G6RoiXpybLaOpN4M1uQCV9TbSz5GR0CJVadCDhcSPgJ54jeuAEw />meta propertyog:image:width content689 />meta propertyog:image:height content507 />meta propertyog:image:alt contentmyhdl_flow.png />meta propertyog:locale contenten_US />meta nametwitter:text:title contentAlternatives to VHDL/Verilog for Hardware Design />meta nametwitter:card contentsummary />!-- End Jetpack Open Graph Tags -->meta nameviewport contentinitial-scale1.0, maximum-scale1.0, minimum-scale1.0, user-scalableno />!-- Css and js addons for vertex features --> link relstylesheet typetext/css href//fonts.googleapis.com/css?familyOswald />style typetext/css> /* MAX IMAGE WIDTH */img {height:auto !important;max-width:100% !important;-webkit-box-sizing: border-box !important; /* Safari/Chrome, other WebKit */-moz-box-sizing: border-box !important; /* Firefox, other Gecko */box-sizing: border-box !important; /* Opera/IE 8+ */}.full_width {width:100% !important;-webkit-box-sizing: border-box !important; /* Safari/Chrome, other WebKit */-moz-box-sizing: border-box !important; /* Firefox, other Gecko */box-sizing: border-box !important; /* Opera/IE 8+ */}.S5_submenu_itemTablet{background:none !important;}#s5_responsive_modile_drop_down_wrap input {width:96% !important;}#s5_responsive_mobile_drop_down_search input {width:100% !important;}@media screen and (max-width: 750px){ body { height:100% !important; position:relative !important; padding-bottom:48px !important; }}@media screen and (max-width: 970px){ #subMenusContainer .S5_subtext { width:85%; }} #s5_responsive_mobile_bottom_bar, #s5_responsive_mobile_top_bar { background:#0B0B0B; background: -moz-linear-gradient(top, #272727 0%, #0B0B0B 100%); /* FF3.6+ */ background: -webkit-gradient(linear, left top, left bottom, color-stop(0%,#272727), color-stop(100%,#0B0B0B)); /* Chrome,Safari4+ */ background: -webkit-linear-gradient(top, #272727 0%,#0B0B0B 100%); /* Chrome10+,Safari5.1+ */ background: -o-linear-gradient(top, #272727 0%,#0B0B0B 100%); /* Opera11.10+ */ background: -ms-linear-gradient(top, #272727 0%,#0B0B0B 100%); /* IE10+ */ background: linear-gradient(top, #272727 0%,#0B0B0B 100%); /* W3C */ font-family: Oswald !important; } .s5_responsive_mobile_drop_down_inner, .s5_responsive_mobile_drop_down_inner input, .s5_responsive_mobile_drop_down_inner button, .s5_responsive_mobile_drop_down_inner .button, #s5_responsive_mobile_drop_down_search .validate { font-family: Oswald !important; } .s5_responsive_mobile_drop_down_inner button:hover, .s5_responsive_mobile_drop_down_inner .button:hover { background:#0B0B0B !important; } #s5_responsive_mobile_drop_down_menu, #s5_responsive_mobile_drop_down_menu a, #s5_responsive_mobile_drop_down_login a { font-family: Oswald !important; color:#FFFFFF !important; } #s5_responsive_mobile_bar_active, #s5_responsive_mobile_drop_down_menu .current a, .s5_responsive_mobile_drop_down_inner .s5_mod_h3, .s5_responsive_mobile_drop_down_inner .s5_h3_first { color:#468BD0 !important; } .s5_responsive_mobile_drop_down_inner button, .s5_responsive_mobile_drop_down_inner .button { background:#468BD0 !important; } #s5_responsive_mobile_drop_down_menu .active ul li, #s5_responsive_mobile_drop_down_menu .current ul li a, #s5_responsive_switch_mobile a, #s5_responsive_switch_desktop a, #s5_responsive_modile_drop_down_wrap { color:#FFFFFF !important; } #s5_responsive_mobile_toggle_click_menu span { border-right:solid 1px #272727; } #s5_responsive_mobile_toggle_click_menu { border-right:solid 1px #0B0B0B; } #s5_responsive_mobile_toggle_click_search span, #s5_responsive_mobile_toggle_click_register span, #s5_responsive_mobile_toggle_click_login span, #s5_responsive_mobile_scroll a { border-left:solid 1px #272727; } #s5_responsive_mobile_toggle_click_search, #s5_responsive_mobile_toggle_click_register, #s5_responsive_mobile_toggle_click_login, #s5_responsive_mobile_scroll { border-left:solid 1px #0B0B0B; } .s5_responsive_mobile_open, .s5_responsive_mobile_closed:hover, #s5_responsive_mobile_scroll:hover { background:#272727; } #s5_responsive_mobile_drop_down_menu .s5_responsive_mobile_drop_down_inner, #s5_responsive_mobile_drop_down_register .s5_responsive_mobile_drop_down_inner, #s5_responsive_mobile_drop_down_login .s5_responsive_mobile_drop_down_inner, #s5_responsive_mobile_drop_down_search .s5_responsive_mobile_drop_down_inner { background:#272727; } .s5_wrap { max-width:1300px !important; } @media screen and (min-width: 1300px){ #s5_right_top_wrap { width:391.3px !important; } #s5_right_inset_wrap { width:0px !important; } #s5_right_wrap { width:391.3px !important; } #s5_right_bottom_wrap { width:391.3px !important; } #s5_left_top_wrap { width:0px !important; } #s5_left_inset_wrap { width:0px !important; } #s5_left_wrap { width:0px !important; } #s5_left_bottom_wrap { width:0px !important; } #s5_right_column_wrap { width:391.3px !important; margin-left:-391.3px !important; } #s5_left_column_wrap { width:0px !important; } #s5_center_column_wrap_inner { margin-left:0px !important; margin-right:391.3px !important; } } @media screen and (max-width: 970px){ #s5_right_top_wrap { width:301px !important; } #s5_right_inset_wrap { width:301px !important; } #s5_right_wrap { width:301px !important; } #s5_right_bottom_wrap { width:301px !important; } #s5_left_top_wrap { width:0px !important; } #s5_left_inset_wrap { width:0px !important; } #s5_left_wrap { width:0px !important; } #s5_left_bottom_wrap { width:0px !important; } #s5_right_column_wrap { width:301px !important; margin-left:-301px !important; } #s5_left_column_wrap { width:0px !important; } #s5_center_column_wrap_inner { margin-left:0px !important; margin-right:301px !important; } } @media screen and (max-width: 750px){ #s5_right_top_wrap { width:240.8px !important; } #s5_right_inset_wrap { width:240.8px !important; } #s5_right_wrap { width:240.8px !important; } #s5_right_bottom_wrap { width:240.8px !important; } #s5_left_top_wrap { width:0px !important; } #s5_left_inset_wrap { width:0px !important; } #s5_left_wrap { width:0px !important; } #s5_left_bottom_wrap { width:0px !important; } #s5_right_column_wrap { width:240.8px !important; margin-left:-240.8px !important; } #s5_left_column_wrap { width:0px !important; } #s5_center_column_wrap_inner { margin-left:0px !important; margin-right:240.8px !important; } }/style>script typetext/javascript>//!CDATA//>/script>script typetext/javascript srchttps://valentfx.com/wp-content/themes/s5_corporate_response/js/s5_flex_menu.js>/script>link relstylesheet hrefhttps://valentfx.com/wp-content/themes/s5_corporate_response/css/s5_flex_menu.css typetext/css />link hrefhttps://valentfx.com/wp-content/themes/s5_corporate_response/css/template_default.css relstylesheet typetext/css />link hrefhttps://valentfx.com/wp-content/themes/s5_corporate_response/css/template.css relstylesheet typetext/css />link hrefhttps://valentfx.com/wp-content/themes/s5_corporate_response/css/com_content.css relstylesheet typetext/css />link hrefhttps://valentfx.com/wp-content/themes/s5_corporate_response/css/editor.css relstylesheet typetext/css />link hrefhttps://valentfx.com/wp-content/themes/s5_corporate_response/css/thirdparty.css relstylesheet typetext/css />link relstylesheet typetext/css href//fonts.googleapis.com/css?familyActor />link hrefhttps://valentfx.com/wp-content/themes/s5_corporate_response/css/multibox/multibox.css relstylesheet typetext/css />link hrefhttps://valentfx.com/wp-content/themes/s5_corporate_response/css/multibox/ajax.css relstylesheet typetext/css />script typetext/javascript srchttps://valentfx.com/wp-content/themes/s5_corporate_response/js/multibox/overlay.js>/script>script typetext/javascript srchttps://valentfx.com/wp-content/themes/s5_corporate_response/js/multibox/multibox.js>/script>link hrefhttps://valentfx.com/wp-content/themes/s5_corporate_response/favicon.ico relshortcut icon typeimage/x-icon /> link relstylesheet typetext/css hrefhttps://valentfx.com/wp-content/themes/s5_corporate_response/css/s5_responsive_bars.css /> link hrefhttps://valentfx.com/wp-content/themes/s5_corporate_response/css/s5_responsive_hide_classes.css relstylesheet typetext/css /> link relstylesheet typetext/css hrefhttps://valentfx.com/wp-content/themes/s5_corporate_response/css/s5_responsive.css /> link relstylesheet typetext/css hrefhttps://valentfx.com/wp-content/themes/s5_corporate_response/css/custom.css /> script typetext/javascript> //!CDATA jQuery(document).ready( function() { var myMenu new MenuMatic({ tabletWidth:1400, effect:slide & fade, duration:1000, physics: easeOutExpo, hideDelay:500, orientation:horizontal, tweakInitial:{x:0, y:0}, direction:{ x: right, y: down }, opacity:100 }); }); //> /script> !-- Info Slide Script - Called in header so css validates -->link hrefhttps://valentfx.com/wp-content/themes/s5_corporate_response/css/s5_info_slide.css relstylesheet typetext/css />script typetext/javascript srchttps://valentfx.com/wp-content/themes/s5_corporate_response/js/s5_info_slide.js>/script>!-- File compression. Needs to be called last on this file -->!-- The excluded files, listed below the compressed php files, are excluded because their calls vary per device or per browser. Included compression files are only ones that have no conditions and are included on all devices and browsers. Otherwise unwanted css will compile in the compressed files. -->link relstylesheet typetext/css hrefhttp://fonts.googleapis.com/css?familyOpen Sans Condensed:700 />style typetext/css> body {font-family: Actor,Helvetica,Arial,Sans-Serif ;} #s5_loginreg, #s5_search_wrap .inputbox, #s5_nav li a, .s5_mod_h3, .slideInfoZone h2, .s5_is_display h3, .button, button, #s5_va_accordion_inner h3, li.pagenav-next, li.pagenav-prev, #s5_component_wrap h2, #s5_component_wrap h1, .contentheading, .s5_va_element_inner h1, .s5_am_toggler, .highlight_font, #s5_component_wrap h3 {font-family: Open Sans Condensed;}#s5_header_area1, #cboxWrapper {border-bottom:solid 3px #4eb3e6;}.s5_dark_modules, #cboxWrapper {border-top:solid 3px #4eb3e6;}#s5_nav li.active a, #s5_nav li.mainMenuParentBtnFocused a, #s5_nav li:hover a, #s5_bottom_menu_wrap a, .s5_light_modules a, #cboxWrapper a, #s5_loginreg, .s5_h3_first, #s5_va_accordion_inner h3, li.pagenav-next, li.pagenav-prev, .readmore, #s5_component_wrap h2, #s5_component_wrap h1, .contentheading, .s5_va_active:hover, .s5_va_inactive:hover, .s5_va_active, .highlight_color, ul.menu li.current a, .btn-link {color:#4eb3e6;}.s5_va_inactive:hover, #s5_accordion_menu #current a, #current span.s5_accordion_menu_left a.mainlevel, #s5_accordion_menu h3:hover span {color:#4eb3e6 !important;}a.readon, .button, button, p.readmore a, li.pagenav-next, li.pagenav-prev, .s5_light_modules .module_round_box-highlight, .dropdown-menu li > a:hover, .dropdown-menu li > a:focus, .dropdown-submenu:hover > a, .dropdown-menu .active > a, .dropdown-menu .active > a:hover, .nav-list > .active > a, .nav-list > .active > a:hover, .nav-pills > .active > a, .nav-pills > .active > a:hover, .btn-group.open .btn-primary.dropdown-toggle, .btn-primary {background:#4eb3e6;}#s5_loginreg, #s5_nav li a, .s5_mod_h3, .slideInfoZone h2, .s5_is_display h3, .button, button, #s5_va_accordion_inner h3, li.pagenav-next, li.pagenav-prev, #s5_component_wrap h2, #s5_component_wrap h1, .contentheading, .s5_va_element_inner h1, .s5_am_toggler, .uppercase, #s5_component_wrap h3 {text-transform:uppercase;}.S5_parent_subtext {display:none;}#s5_nav li .s5_level1_span2 {height:29px;}#s5_scroll_wrap.s5_wrap {width:100% !important;}/* k2 stuff */div.itemHeader h2.itemTitle, div.catItemHeader h3.catItemTitle, h3.userItemTitle a, #comments-form p, #comments-report-form p, #comments-form span, #comments-form .counter, #comments .comment-author, #comments .author-homepage,#comments-form p, #comments-form #comments-form-buttons, #comments-form #comments-form-error, #comments-form #comments-form-captcha-holder {font-family: Actor,Helvetica,Arial,Sans-Serif ;} .s5_wrap{width:94%;} /style>/head>body ids5_body>div ids5_scrolltotop>/div>div classs5_light_modules>!-- Top Vertex Calls -->!-- Call top bar for mobile devices if layout is responsive --> !-- s5_responsive_mobile_top_bar_spacer must be called to keep a space at the top of the page since s5_responsive_mobile_top_bar_wrap is position absolute. -->div ids5_responsive_mobile_top_bar_spacer>/div>!-- s5_responsive_mobile_top_bar_wrap must be called off the page and not with display:none or it will cause issues with the togglers. -->div ids5_responsive_mobile_top_bar_wrap stylemargin-top:-50000px;position:absolute;z-index:20;top:0px> div ids5_responsive_mobile_top_bar classs5_responsive_mobile_bar_light> div ids5_responsive_mobile_toggle_click_menu styledisplay:block;float:left> span>/span> /div> div ids5_responsive_mobile_bar_active> span> Alternatives to VHDL/Verilog for Hardware Design /span> /div> div ids5_responsive_mobile_toggle_click_login styledisplay:none;float:right> span>/span> /div> div ids5_responsive_mobile_toggle_click_register styledisplay:none;float:right> span>/span> /div> div ids5_responsive_mobile_toggle_click_search styledisplay:block;float:right> span>/span> /div> div styleclear:both;height:0px>/div> /div> div ids5_responsive_modile_drop_down_wrap classs5_responsive_modile_drop_down_wrap_loading> div ids5_responsive_mobile_drop_down_menu> div classs5_responsive_mobile_drop_down_inner style-webkit-box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);-moz-box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);> ul idmobile_menu classmenu>li classdeeper parent>a hrefhttps://valentfx.com/>Home/a>/li>li>a hrefhttps://valentfx.com/fpga-logi-family/>Products/a>ul > li>a hrefhttps://valentfx.com/home/kiwisdr/>KiwiSDR/a>/li> li>a hrefhttps://valentfx.com/logi-bone/>LOGI Bone (retired)/a>/li> li>a hrefhttps://valentfx.com/logi-pi/>LOGI Pi (retired)/a>/li> li>a hrefhttps://valentfx.com/logi-edu/>LOGI EDU (retired)/a>/li> li>a hrefhttps://valentfx.com/logi-cam/>LOGI Cam (retired)/a>/li>/ul>/li>li>a hrefhttp://valentfx.com/vanilla>Forums/a>/li>li>a hrefhttps://valentfx.com/category/logi/>LOGI Blog/a>/li>li>a hrefhttp://wiki.valentfx.com>Wiki/a>/li>li>a hrefhttps://www.youtube.com/channel/UCDt1_Vd3ECYYhVIT1fM06Nw/videos>LOGI Videos/a>/li>li>a hrefhttp://valentfx.com/skeleton>Skeleton Editor/a>/li>li>a hrefhttps://valentfx.com/contact-form/>Contact Us/a>/li>/ul> /div> /div> div ids5_responsive_mobile_drop_down_search> div classs5_responsive_mobile_drop_down_inner style-webkit-box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);-moz-box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);> form methodpost actionhttps://valentfx.com/> input typetext onfocusif (this.valueSearch...) this.value; onblurif (this.value) this.valueSearch...; valueSearch... ids5_responsive_mobile_search namesearchword /> input typehidden valuesearch nametask /> input typehidden valuecom_search nameoption /> input typehidden value1 nameItemid /> /form> /div> /div> div ids5_responsive_mobile_drop_down_login> div classs5_responsive_mobile_drop_down_inner ids5_responsive_mobile_drop_down_login_inner style-webkit-box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);-moz-box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);> /div> /div> div ids5_responsive_mobile_drop_down_register> div classs5_responsive_mobile_drop_down_inner ids5_responsive_mobile_drop_down_register_inner style-webkit-box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);-moz-box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);box-shadow: 0px 0px 16px rgba(0, 0, 0, 0.6);> /div> /div> /div>/div>script typetext/javascript> var s5_responsive_login_url ; var s5_responsive_register_url ;/script>script typetext/javascript srchttps://valentfx.com/wp-content/themes/s5_corporate_response/js/s5_responsive_mobile_bar.js?t1>/script>!-- Fixed Tabs --> !-- Drop Down --> /div> !-- Body Padding Div Used For Responsive Spacing --> div ids5_body_padding> div ids5_body_wrap classs5_wrap> div ids5_body_wrap_inner> !-- Header --> div ids5_header_area1> div ids5_header_area2> div ids5_header_area_inner> div ids5_header_wrap> div id s5_logo_wrap> img altlogo srchttps://valentfx.com/wp-content/themes/s5_corporate_response/images/s5_logo.png ids5_logo onclickwindow.document.location.hrefhttps://valentfx.com /> /div> div ids5_header_right> div ids5_social_wrap> div ids5_google onclickwindow.open(https://plus.google.com/u/1/111824408781265097095/posts)>/div> div ids5_twitter onclickwindow.open(https://twitter.com/ValentFx)>/div> /div> div styleclear:both; height:0px>/div> /div> div styleclear:both; height:0px>/div> div ids5_menu_wrap> div ids5_menu_wrap_inner> ul ids5_nav classmenu>li classmenu-item menu-item-type-post_type menu-item-object-page menu-item-home menu-item-9>span classs5_level1_span1>span classs5_level1_span2>a hrefhttps://valentfx.com/> span onclickwindow.document.location.hrefhttps://valentfx.com/>Home /span> /a>/span>/span>/li>li classmenu-item menu-item-type-post_type menu-item-object-page menu-item-has-children menu-item-81>span classs5_level1_span1>span classs5_level1_span2>a hrefhttps://valentfx.com/fpga-logi-family/> span onclickwindow.document.location.hrefhttps://valentfx.com/fpga-logi-family/>Products /span> /a>/span>/span>ul stylefloat:left;> li classmenu-item menu-item-type-post_type menu-item-object-page menu-item-521>span classS5_submenu_item>a hrefhttps://valentfx.com/home/kiwisdr/> span onclickwindow.document.location.hrefhttps://valentfx.com/home/kiwisdr/>KiwiSDR/span> /a>/span>/li> li classmenu-item menu-item-type-post_type menu-item-object-page menu-item-33>span classS5_submenu_item>a hrefhttps://valentfx.com/logi-bone/> span onclickwindow.document.location.hrefhttps://valentfx.com/logi-bone/>LOGI Bone (retired)/span> /a>/span>/li> li classmenu-item menu-item-type-post_type menu-item-object-page menu-item-22>span classS5_submenu_item>a hrefhttps://valentfx.com/logi-pi/> span onclickwindow.document.location.hrefhttps://valentfx.com/logi-pi/>LOGI Pi (retired)/span> /a>/span>/li> li classmenu-item menu-item-type-post_type menu-item-object-page menu-item-31>span classS5_submenu_item>a hrefhttps://valentfx.com/logi-edu/> span onclickwindow.document.location.hrefhttps://valentfx.com/logi-edu/>LOGI EDU (retired)/span> /a>/span>/li> li classmenu-item menu-item-type-post_type menu-item-object-page menu-item-32>span classS5_submenu_item>a hrefhttps://valentfx.com/logi-cam/> span onclickwindow.document.location.hrefhttps://valentfx.com/logi-cam/>LOGI Cam (retired)/span> /a>/span>/li>/ul>/li>li classmenu-item menu-item-type-custom menu-item-object-custom menu-item-533>span classs5_level1_span1>span classs5_level1_span2>a hrefhttp://valentfx.com/vanilla> span onclickwindow.document.location.hrefhttp://valentfx.com/vanilla>Forums /span> /a>/span>/span>/li>li classmenu-item menu-item-type-taxonomy menu-item-object-category current-post-ancestor current-menu-parent current-post-parent menu-item-34>span classs5_level1_span1>span classs5_level1_span2>a hrefhttps://valentfx.com/category/logi/> span onclickwindow.document.location.hrefhttps://valentfx.com/category/logi/>LOGI Blog /span> /a>span classS5_parent_subtext onclickwindow.document.location.href"https://valentfx.com/category/logi/">LOGI Boards Blog Posts/span>/span>/span>/li>li classmenu-item menu-item-type-custom menu-item-object-custom menu-item-13>span classs5_level1_span1>span classs5_level1_span2>a hrefhttp://wiki.valentfx.com> span onclickwindow.document.location.hrefhttp://wiki.valentfx.com>Wiki /span> /a>/span>/span>/li>li classmenu-item menu-item-type-custom menu-item-object-custom menu-item-14>span classs5_level1_span1>span classs5_level1_span2>a hrefhttps://www.youtube.com/channel/UCDt1_Vd3ECYYhVIT1fM06Nw/videos> span onclickwindow.document.location.hrefhttps://www.youtube.com/channel/UCDt1_Vd3ECYYhVIT1fM06Nw/videos>LOGI Videos /span> /a>/span>/span>/li>li classmenu-item menu-item-type-custom menu-item-object-custom menu-item-476>span classs5_level1_span1>span classs5_level1_span2>a hrefhttp://valentfx.com/skeleton> span onclickwindow.document.location.hrefhttp://valentfx.com/skeleton>Skeleton Editor /span> /a>/span>/span>/li>li classmenu-item menu-item-type-post_type menu-item-object-page menu-item-72>span classs5_level1_span1>span classs5_level1_span2>a hrefhttps://valentfx.com/contact-form/> span onclickwindow.document.location.hrefhttps://valentfx.com/contact-form/>Contact Us /span> /a>/span>/span>/li>/ul> div styleclear:both; height:0px>/div> /div> /div> div styleclear:both; height:0px>/div> /div> /div> /div> /div> !-- End Header --> div classs5_light_modules> !-- Top Row1 --> !-- End Top Row1 --> !-- Top Row2 --> !-- End Top Row2 --> !-- Top Row3 --> !-- End Top Row3 --> !-- Center area --> div ids5_center_area1> div ids5_center_area2> div ids5_center_area_inner> !-- Above Columns Wrap --> !-- End Above Columns Wrap --> !-- Columns wrap, contains left, right and center columns --> div ids5_columns_wrap> div ids5_columns_wrap_inner> div ids5_center_column_wrap> div ids5_center_column_wrap_inner stylemargin-left:0px; margin-right:301px;> div ids5_component_wrap> div ids5_component_wrap_inner> div classblog-featured>!--%blog_heading%-->div>div classarticle_column stylewidth:100%;float:left;>div classitem> div idpost-435 classpost-435 post type-post status-publish format-standard hentry category-logi> div classcontentpaneopen_heading>h2> a classcontentpagetitle hrefhttps://valentfx.com/alternatives-to-vhdlverilog-for-hardware-design/ titlePermalink to: Alternatives to VHDL/Verilog for Hardware Design>Alternatives to VHDL/Verilog for Hardware Design/a> /h2>!--%author_credit%-->div styleclear:both;>/div>/div>!--%date_block%--> div styleclear:both;height: 15px;>/div>div classs5_contentwrapper>div> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 28px; font-family: Trebuchet MS; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Alternatives to VHDL/Verilog for Hardware Design/span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Hardware description languages (HDLs) are a category of programming languages that target digital hardware design. These languages provides special features to design sequential logic( the system evolve over time represented by a clock) or combinational logic (the system output is a direct function of its input). While these language have proved to be efficient to design hardware, they often lack the tool support (editors are far behind what you can get to edit C/java/etc) and the syntax can be hard to master. More-over, these language can generate sub-optimal, faulty hardware which can be very difficult to debug. /span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Over the past-year some alternative languages have arisen to address the main issues of the more popular HDLs (VHDL/Verilog). These new languages can be classified into two categories as follows./span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Categories of Hardware description Languages (HDLs)/span>/span>/p>p> /p>ol stylemargin-top:0pt;margin-bottom:0pt;> li dirltr stylelist-style-type: decimal; font-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; background-color: transparent;> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>HLS (High Level Synthesis) /span>span stylefont-size: 15px; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>: HLS tools, try to take an existing programming language as an input and generate the corresponding HDL (hardware description language).. Some of these tools are quite popular in the EDA industry such as CatapultC from Mentor Graphics, Matlab HDL coder, but are very expensive. Xilinx recently integrated the support of SystemC and C in their Vivado toolchain but it only supports high-end FPGA. /span>/span> /p> /li> li dirltr stylelist-style-type: decimal; font-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; background-color: transparent;> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Alternative syntax /span>span stylefont-size: 15px; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>: Some tools propose an alternative syntax to VHDL or Verilog. The alternative syntax approach keeps the control of the generated hardware, but gives the advantage of an easier to master syntax and sometimes of ease of debugging./span>/span> /p> /li>/ol>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>While the HLS seems attractive. there is a good chance it will generate sub-optimal hardware if the designer does not write the “software” with hardware in mind. The approach is a bit magical as you can take existing C/Matlab software and generate hardware in a few clicks./span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>HLS is very practical to reduce time to first prototype (especially with Matlab) and for people with little (or no) HDL knowledge to produce a functional hardware design. However, HLS tools are not good for the users who want to learn digital design and the good HLS tools are usually very expensive (a CatapultC license can cost more than 100k$ /span>a hrefhttp://www.mentor.com/esl/news/catpultc_productextension styletext-decoration:none;>span stylefont-size: 15px; font-family: Arial; color: rgb(17, 85, 204); text-decoration: underline; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>link/span>/a>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>, and Matlab HDL coder starts at 10k$ /span>a hrefhttp://www.eejournal.com/archives/articles/20120306-mathworks styletext-decoration:none;>span stylefont-size: 15px; font-family: Arial; color: rgb(17, 85, 204); text-decoration: underline; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>link/span>/a>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>)./span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Over the past year some open-source, free to use alternatives to HDL have emerged. These tools do not pretend to create hardware from behavioral description, but propose to smoothen the learning curve for digital logic design by relying on easier to master syntax and feature rich tools./span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>In the following we will review two of these alternatives languages (myHDL, PSHDL). To test the languages, we will use them to design and debug a simple PWM module. We chose these two languages based on their distinct properties and community adoption but other tools such as MiGen (python based syntax) which will not be covered here, but use the same kind of design flow./span>/span>/p>p> /p>h1 dirltr styleline-height:1.15;margin-top:10pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 21px; font-family: Trebuchet MS; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Category 1 - myHDL /span>a hrefhttp://www.myhdl.org/ styletext-decoration:none;>span stylefont-size: 21px; font-family: Trebuchet MS; color: rgb(17, 85, 204); text-decoration: underline; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>http://www.myhdl.org//span>/a>span stylefont-size: 21px; font-family: Trebuchet MS; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>/span>/span>/h1>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>myHDL uses python to design and test hardware components. A hardware component is designed as a python function whose arguments are the inputs and outputs of the component. The component can then describe sub-functions and designate them as combinational or sequential logic using a decorator (some text prefixed by the @ symbol that defines properties for a function/method). Once the design is complete, it can be exported to HDL (VHDL or Verilog) using a small python snippet. The design can also be tested/simulated in the python environment and generate waveform traces./span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>img altmyhdl_flow.png height507px; srchttps://lh6.googleusercontent.com/gyRlcdeJ3k5AYpWgGUV9DJlnzlB214fZVxkO89Uu0_Jqcd28KyqYEX-4-NSrLrNOuRM9lleY8b7JAW_G6RoiXpybLaOpN4M1uQCV9TbSz5GR0CJVadCDhcSPgJ54jeuAEw styleborder: none; transform: rotate(0.00rad); -webkit-transform: rotate(0.00rad); width689px; />/span>/span>/p>p> /p>h2 dirltr styleline-height:1.15;margin-top:10pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 17px; font-family: Trebuchet MS; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Installation of myHDL/span>/span>/h2>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Installing myHDL is straightforward and can be done with a single line on a Linux system(not tested with windows). /span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); font-style: italic; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>sudo pip install myhdl/span>/span>/p>p> /p>h2 dirltr styleline-height:1.15;margin-top:10pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 17px; font-family: Trebuchet MS; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Design of the PWM module in myHDL/span>/span>/h2>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>The pwm component is pretty straightforward. It has two inputs period and t_on that designate respectively the period of the pwm signal and the number of cycles that the pwm edges are triggered on. The module has two outputs: pwm_out that is the pwm signal and period_end that is asserted at the end of a period and de-asserted otherwise. Here is the corresponding myHDL code./span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>from myhdl import */span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>def pwm_module(period, t_on, pwm, period_end, clk):/span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>count Signal(intbv(0)16:)/span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>@always(clk.posedge)/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>def logic():/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 72pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> if count period:/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 72pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> count.next 0/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 72pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> period_end.next 1/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 72pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> else:/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 72pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> count.next count + 1/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 72pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> period_end.next 0/span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 72pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> if count > t_on:/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 72pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> pwm.next 0/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 72pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> else:/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 72pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> pwm.next 1/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 72pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>return logic/span>/span>/p>p> br /> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>The module is evaluated/simulated using the following test-bench./span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>def TestBench():/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>clk Signal(bool(0))/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>period Signal(intbv(200)16:)/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>t_on Signal(intbv(100)16:)/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>pwm_out Signal(bool(0))/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>period_end Signal(bool(0))/span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>pwm_inst pwm_module(period, t_on, pwm_out, period_end, clk) /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>@always(delay(1))/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>def tb_clkgen():/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>clk.next not clk/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>@instance/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>def tb_stim():/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 36pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> period 200/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 36pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> t_on 100 /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 36pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> yield delay(2)/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 36pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>for ii in xrange(400):/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 36pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>yield clk.negedge/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 36pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>print("%3d %s" % (now(), bin(pwm_out, 1)))/span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 36pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>raise StopSimulation/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>return tb_clkgen, tb_stim, pwm_inst/span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>if __name__ '__main__':/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Simulation(TestBench()).run()/span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>The Corresponding HDL code is generated by changing this line of code:/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>pwm_inst pwm_module(period, t_on, pwm_out, period_end, clk)/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>into this line of code:/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>pwm_inst toVHDL(pwm_module, period, t_on, pwm_out, period_end, clk)/span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>and here is the resulting VHDL:/span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>library IEEE;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>use IEEE.std_logic_1164.all;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>use IEEE.numeric_std.all;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>use std.textio.all;/span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>use work.pck_myhdl_081.all;/span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>entity pwm_module is/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>port (/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>period: in unsigned(15 downto 0);/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>t_on: in unsigned(15 downto 0);/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>pwm: out std_logic;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>period_end: out std_logic;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>clk: in std_logic/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>);/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>end entity pwm_module;/span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>architecture MyHDL of pwm_module is/span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>signal count: unsigned(15 downto 0);/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>begin/span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>PWM_MODULE_LOGIC: process (clk) is/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>begin/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>if rising_edge(clk) then/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 36pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>if (count period) then/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 36pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>count < to_unsigned(0, 16);/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 36pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>period_end < '1';/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 36pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>else/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 36pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>count < (count + 1);/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 36pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>period_end < '0';/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 36pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>end if;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 36pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>if (count > t_on) then/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 36pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>pwm < '0';/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 36pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>else/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 36pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>pwm < '1';/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;margin-left: 36pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>end if;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>end if;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>end process PWM_MODULE_LOGIC;/span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>end architecture MyHDL;/span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(255, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>myHDL lines to VHDL lines : 20 -> 42 0.47/span>/span>/p>h2 dirltr styleline-height:1.15;margin-top:10pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 17px; font-family: Trebuchet MS; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Pro and Cons of using myHDL or similar languages/span>/span>/h2>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Pro:/span>/span>/p>ul stylemargin-top:0pt;margin-bottom:0pt;> li dirltr stylelist-style-type: disc; font-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; background-color: transparent;> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Python syntax is clean and forces the user to structure the code appropriately/span>/span> /p> /li> li dirltr stylelist-style-type: disc; font-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; background-color: transparent;> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>The syntax elements introduced for hardware design are relevant and does not add much syntax (the next attribute is a great idea and reflects the hardware behavior)/span>/span> /p> /li> li dirltr stylelist-style-type: disc; font-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; background-color: transparent;> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>The quality of the generated code is great !/span>/span> /p> /li> li dirltr stylelist-style-type: disc; font-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; background-color: transparent;> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>The simulation has a great potential, you can even generate a waveform/span>/span> /p> /li> li dirltr stylelist-style-type: disc; font-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; background-color: transparent;> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>One can take advantage of the extensive collection of Python packages to create powerful simulations/span>/span> /p> /li> li dirltr stylelist-style-type: disc; font-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; background-color: transparent;> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Small designs can fit in one file./span>/span> /p> /li>/ul>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Cons:/span>/span>/p>ul stylemargin-top:0pt;margin-bottom:0pt;> li dirltr stylelist-style-type: disc; font-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; background-color: transparent;> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>The use of decorators is not great for readability (and i’am not a decorator fan …)/span>/span> /p> /li> li dirltr stylelist-style-type: disc; font-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; background-color: transparent;> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>One really needs to understand digital logic and hardware design before starting a myHDL module/span>/span> /p> /li> li dirltr stylelist-style-type: disc; font-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; background-color: transparent;> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>One really needs to master the basics of Python before getting started/span>/span> /p> /li> li dirltr stylelist-style-type: disc; font-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; background-color: transparent;> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Python will raise errors for syntax errors but simulation does raise warning or errors if there is a design error (incomplete if/case clause or other things that a synthesizer would detect)/span>/span> /p> /li> li dirltr stylelist-style-type: disc; font-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; background-color: transparent;> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>There is no (that i know of) myHDL specific editor or environment that would ease the beginner experience./span>/span> /p> /li>/ul>h1 dirltr styleline-height:1.15;margin-top:10pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 21px; font-family: Trebuchet MS; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Category 2 - The Custom Syntax Approach - PSDHL /span>a hrefhttp://pshdl.org/ styletext-decoration:none;>span stylefont-size: 21px; font-family: Trebuchet MS; color: rgb(17, 85, 204); text-decoration: underline; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>http://pshdl.org//span>/a>span stylefont-size: 21px; font-family: Trebuchet MS; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>/span>/span>/h1>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>PSHDL (plain and simple hardware description language) is an HDL language with custom syntax that takes elements inherited from C/SystemC and adds a custom set of keywords and coding elements to represent a hardware module. A module has no arguments but declares some internal variables as “in” or “out”. The nice and clever thing about PSHDL is that only one keyword is used to represent a sequential part of the module. Instead of declaring a computational unit of sequential or combinational logic, a keyword “register” is used to identify the variables/signals that are to be updated in a synchronous process. This is particularly relevant because every HDL design will be translated into LUTs, MUXs, D-latches or registers./span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>The PSHDL syntax is fairly easy to understand and there is not much syntactical noise. The best thing about PSHDL is that it runs in the web browser! Just like the mBED initiative (for ARM micro-controllers), the pshdl.org website, proposes to create a workspace in which you can edit, compile (to VHDL) and debug your design all on-line. This means, no tools to install (still need to install the ISE/quartus tools to synthesize), OS independent (no problem with running it under Linux/Windows. The community is rather small up until now, but the tool deserves a try!/span>/span>/p>p> /p>h2 dirltr styleline-height:1.15;margin-top:10pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 17px; font-family: Trebuchet MS; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Creating the PWM module using PSHDL/span>/span>/h2>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Below is the PSHDL code for the pwm module:/span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>module de.tuhh.ict.pwmModule {/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> @clock in bit clk;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> in uint<16> period, t_on;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> out bit pwm_out, period_end;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> register(clockclk) uint<16> counter;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> if(counter > period){/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>counter 0;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>period_end 1 ;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> }/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> else{/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>counter counter + 1;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>period_end 0;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> }/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> if(counter > t_on){/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> pwm_out 0;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> }/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> else{/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> /span>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>pwm_out 1;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> }/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>}/span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Below is the VHDL code generated by PSHDL/span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>library ieee;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>use ieee.std_logic_1164.all;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>use ieee.numeric_std.all;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>use work.Casts.ALL;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>use work.ShiftOps.ALL;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>use work.Types.ALL;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>entity de_tuhh_ict_pwmModule is/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> port (/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> rst : in std_logic;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> clk : in std_logic;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> period : in unsigned(15 downto 0);/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> t_on : in unsigned(15 downto 0);/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> pwm_out : out std_logic;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> period_end : out std_logic/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> );/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>end;/span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>architecture pshdlGenerated of de_tuhh_ict_pwmModule is/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> signal counter : unsigned(15 downto 0);/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>begin/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> process(counter, period, t_on)/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> begin/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> pwm_out < '0';/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> period_end < '0';/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> if (counter > period) then/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> period_end < '1';/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> else/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> period_end < '0';/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> end if;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> if (counter > t_on) then/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> pwm_out < '0';/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> else/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> pwm_out < '1';/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> end if;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> end process;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> process(clk)/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> begin/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> if RISING_EDGE(clk) then/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> if rst '1' then/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> counter < (others > '0');/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> else/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> if (counter > period) then/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> counter < (others > '0');/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> else/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> counter < (counter + TO_UNSIGNED(1, 16));/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> end if;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> end if;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> end if;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;> end process;/span>/span>/p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 12px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>end;/span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(255, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>PSHDL lines to VHDL lines : 21 -> 51 0.41/span>/span>/p>h2 dirltr styleline-height:1.15;margin-top:10pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 17px; font-family: Trebuchet MS; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Pro and cons/span>/span>/h2>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Pros : /span>/span>/p>ul stylemargin-top:0pt;margin-bottom:0pt;> li dirltr stylelist-style-type: disc; font-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; background-color: transparent;> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Online tool ! No installation and is OS agnostic/span>/span> /p> /li> li dirltr stylelist-style-type: disc; font-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; background-color: transparent;> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Easy to use syntax for people who know C/C++/span>/span> /p> /li> li dirltr stylelist-style-type: disc; font-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; background-color: transparent;> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Clever use of the “register” keyword to denote sequential assignments/span>/span> /p> /li> li dirltr stylelist-style-type: disc; font-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; background-color: transparent;> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Outputs nicely formatted VHDL/span>/span> /p> /li> li dirltr stylelist-style-type: disc; font-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; background-color: transparent;> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Generated VHDL interfaces easily with existing VHDL code/span>/span> /p> /li>/ul>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); font-weight: bold; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Cons:/span>/span>/p>ul stylemargin-top:0pt;margin-bottom:0pt;> li dirltr stylelist-style-type: disc; font-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; background-color: transparent;> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Online tool - some people may complain that its not great for privacy and intellectual property/span>/span> /p> /li> li dirltr stylelist-style-type: disc; font-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; background-color: transparent;> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Some syntax elements like the package prefix for a module create lengthy text/span>/span> /p> /li> li dirltr stylelist-style-type: disc; font-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; background-color: transparent;> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>A “register” can be associated to a clock and reset by passing arguments to it. This is misleading for C/C++ programmers as it creates a type with an argument (and not a template like the other types) which is not valid C/C++ code./span>/span> /p> /li> li dirltr stylelist-style-type: disc; font-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; background-color: transparent;> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Simulation does not seem to be fully functional in the online tool/span>/span> /p> /li> li dirltr stylelist-style-type: disc; font-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; background-color: transparent;> p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>The community is small (but can grow if you give it a try)/span>/span> /p> /li>/ul>h1 dirltr styleline-height:1.15;margin-top:10pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 21px; font-family: Trebuchet MS; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>Conclusion/span>/span>/h1>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>These two alternative HDL languages/tools do a great job to ease the process of writing and debugging HDL. They both rely on different principles. myHDL defines combinational/sequential functions while PSHDL defines sequential signals for the sequential behavior. This allows you to pick what works best for you! The main drawback with both of these (tools and other alternatives languages) is that they are not directly supported in the vendor tools (Quartus/ISE) and that they are not recognized as standard hardware design languages in the professional world. This means that you will still have to learn VHDL/Verilog at some point if this is part of your career plan./span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>There is no indication that FPGA vendors are willing to open the access to their synthesis tools for third parties, so for any VHDL/Verilog alternatives you will still have to install and use their tools to synthesize and create the binary files to configure the FPGA./span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>One other language that tends to emerge as a standard for hardware (and system) design is SystemC (at least with Xilinx). While myHDL does not rely on any of the SystemC concepts, PSHDL has the advantage of being (to some extend) C/C++ based./span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>To get more people to use FPGAs there is a need to propose a large diversity of languages/tools. See the diversity of languages available to program microcontrollers. Some years ago you had to use C or assembler to design embedded software, but now you can use C/C++, Arduino (C++ based), javascript, Python and more. We need the same kind of languages competition for HDL as each new language may attract more users and create new uses for FPGAs. /span>/span>/p>p> /p>p dirltr styleline-height:1.15;margin-top:0pt;margin-bottom:0pt;> span iddocs-internal-guid-19f99df2-581a-411e-4d0c-8f0c6b9d07e6>span stylefont-size: 15px; font-family: Arial; color: rgb(0, 0, 0); vertical-align: baseline; white-space: pre-wrap; background-color: transparent;>What features do you foresee being needed for a killer hardware description language? /span>/span>/p>p> br /> br /> /p>div classshareaholic-canvas data-appshare_buttons data-titleAlternatives to VHDL/Verilog for Hardware Design data-linkhttps://valentfx.com/alternatives-to-vhdlverilog-for-hardware-design/ data-app-id6392847 data-app-id-namepost_below_content>/div> !-- .entry-content --> div classentry-utility styleheight: 40px;> /div>div styleclear: both;>/div>!-- .entry-utility --> /div>/div> /div>!-- #post-## --> /div>span classarticle_separator> /span>div classartseperator>/div>div styleclear:both;height:0px>/div>/div>/div>/div> div styleclear:both;height:0px>/div> /div> /div> /div> /div> !-- Left column --> !-- End Left column --> !-- Right column --> div ids5_right_column_wrap classs5_float_left stylewidth:301px; margin-left:-301px> div ids5_right_column_wrap_inner> div ids5_right_wrap classs5_float_left stylewidth:301px> div classmodule_round_box_outer>div classmodule_round_box >div classs5_module_box_1>div classs5_module_box_2> div classs5_mod_h3_outer>h3 classs5_mod_h3>span classs5_h3_outer>span classs5_h3_first>LOGI/span> Blog Posts/span>/h3>/div>div classs5_mod_content> ul> li> a hrefhttps://valentfx.com/kiwisdr-on-kickstarter/>KiwiSDR on Kickstarter/a> /li> li> a hrefhttps://valentfx.com/logi-boards-are-back-in-stock/>LOGI boards are back in stock/a> /li> li> a hrefhttps://valentfx.com/gradient-filter-implementation-on-fpga-part-2-implementing-gradient-filter/>Gradient Filter implementation on FPGA : Part 2 Implementing gradient Filter/a> /li> li> a hrefhttps://valentfx.com/gradient-filter-implementation-on-an-fpga/>Gradient Filter implementation on an FPGA – Part 1 Interfacing an FPGA with a camera/a> /li> li> a hrefhttps://valentfx.com/obstacle-detection-using-laser-and-image-processing/>Obstacle detection using Laser and Image Processing/a> /li> /ul> /div>div classs5_clear_float styleclear:both; height:0px>/div>/div>/div>/div>/div>div classmodule_round_box_outer>div classmodule_round_box >div classs5_module_box_1>div classs5_module_box_2>div classs5_mod_content>!--span classs5_h3_first>Tags/span>-->div classtagcloud>a hrefhttps://valentfx.com/tag/logi-bone/ classtag-cloud-link tag-link-5 tag-link-position-1 stylefont-size: 15.179487179487pt; aria-labelLOGI-Bone (4 items)>LOGI-Bone/a>a hrefhttps://valentfx.com/tag/logi-cam/ classtag-cloud-link tag-link-9 tag-link-position-2 stylefont-size: 8pt; aria-labellogi-cam (1 item)>logi-cam/a>a hrefhttps://valentfx.com/tag/logi-family/ classtag-cloud-link tag-link-11 tag-link-position-3 stylefont-size: 22pt; aria-labellogi-family (11 items)>logi-family/a>a hrefhttps://valentfx.com/tag/logi-mark/ classtag-cloud-link tag-link-10 tag-link-position-4 stylefont-size: 8pt; aria-labellogi-mark (1 item)>logi-mark/a>a hrefhttps://valentfx.com/tag/logi-mark1/ classtag-cloud-link tag-link-8 tag-link-position-5 stylefont-size: 8pt; aria-labellogi-mark1 (1 item)>logi-mark1/a>a hrefhttps://valentfx.com/tag/logi-news/ classtag-cloud-link tag-link-6 tag-link-position-6 stylefont-size: 22pt; aria-labellogi-news (11 items)>logi-news/a>a hrefhttps://valentfx.com/tag/logi-pi/ classtag-cloud-link tag-link-4 tag-link-position-7 stylefont-size: 15.179487179487pt; aria-labelLOGI-Pi (4 items)>LOGI-Pi/a>a hrefhttps://valentfx.com/tag/logi-projects/ classtag-cloud-link tag-link-7 tag-link-position-8 stylefont-size: 8pt; aria-labellogi-projects (1 item)>logi-projects/a>a hrefhttps://valentfx.com/tag/makerfaire/ classtag-cloud-link tag-link-12 tag-link-position-9 stylefont-size: 8pt; aria-labelmakerfaire (1 item)>makerfaire/a>/div>/div>div classs5_clear_float styleclear:both; height:0px>/div>/div>/div>/div>/div>div classmodule_round_box_outer>div classmodule_round_box >div classs5_module_box_1>div classs5_module_box_2>div classs5_mod_h3_outer>h3 classs5_mod_h3>span classs5_h3_outer>span classs5_h3_first>LOGI/span> at Element14/span>/h3>/div>div classs5_mod_content> div classtextwidget>h2 styletext-align: center;> a hrefhttp://element14.com/LOGI>You can now order the LOGI Boards at Element14!/a>/h2>p styletext-align: center;> a hrefhttps://lh4.googleusercontent.com/QeVPbZYeYTg98WXOx_lTmwLtf-co_qxO4BGObUCMPfdWxa_iYROyhemJ-QgRQ2hBWJ5FM2sRlBo1h9jARKsoW6BRARzB4SuVtYi2QO99vTHrWPvd9ZPLu-dx2524S17UN56zc8g stylewidth: 300px; height: 265px; />/a>/p>/div> /div>div classs5_clear_float styleclear:both; height:0px>/div>/div>/div>/div>/div> /div> /div> /div> !-- End Right column --> /div> /div> !-- End columns wrap --> !-- Below Columns Wrap --> !-- End Below Columns Wrap --> /div> /div> /div> !-- End Center area --> !-- Bottom Row1 --> div ids5_bottom_row1_area1> div ids5_bottom_row1_area2> div ids5_bottom_row1_area_inner> div ids5_bottom_row1_wrap> div ids5_bottom_row1> div ids5_bottom_row1_inner> div ids5_pos_bottom_row1_1 classs5_float_left stylewidth:50%> div classmodule_round_box_outer>div classmodule_round_box>div classs5_module_box_1>div classs5_module_box_2>div classs5_mod_content>!---->ul>li>a href/vanilla/profile/tamasp>tamasp/a> joined./li>li>a href/vanilla/profile/sebadalessandro>sebadalessandro/a> joined./li>li>a href/vanilla/profile/JimGat>JimGat/a> joined./li>/ul>/div>div classs5_clear_float styleclear:both; height:0px>/div>/div>/div>/div>/div> /div> div ids5_pos_bottom_row1_2 classs5_float_left stylewidth:50%> div classmodule_round_box_outer>div classmodule_round_box>div classs5_module_box_1>div classs5_module_box_2>div classs5_mod_content>!---->ul>li>a hrefhttp://valentfx.com/vanilla/discussion/210/logi-pi-2>LOGI-PI-2/a>/li>li>a hrefhttp://valentfx.com/vanilla/discussion/239/problem-with-running-blink-test.-init-pin-not-going-high>Problem with running blink test. Init pin not going high!/a>/li>li>a hrefhttp://valentfx.com/vanilla/discussion/2167/wiki>WIKI/a>/li>li>a hrefhttp://valentfx.com/vanilla/discussion/2166/pcb-de-filtro-de-interrup%C3%A7%C3%A3o-de-banda-diy-mw>PCB de filtro de interrupção de banda DIY MW/a>/li>li>a hrefhttp://valentfx.com/vanilla/discussion/1543/logi_loader-memory-access-error-with-r1.5.1-on-raspi3b->logi_loader memory access error with R1.5.1 on Raspi3b+/a>/li>/ul>/div>div classs5_clear_float styleclear:both; height:0px>/div>/div>/div>/div>/div> /div> div styleclear:both; height:0px>/div> /div> /div> /div> /div> /div> /div> !-- End Bottom Row1 --> !-- Bottom Row2 --> !-- End Bottom Row2 --> /div> div classs5_dark_modules> !-- Bottom Row3 --> !-- End Bottom Row3 --> !-- Footer Area --> div ids5_footer_area1> div ids5_footer_area2> div ids5_footer_area_inner> div ids5_bottom_menu_wrap> /div> div ids5_footer> span classfooterc>Copyright © 2024. ValentF(x)./span> /div> div styleclear:both; height:0px>/div> /div> /div> /div> !-- End Footer Area --> !-- Bottom Vertex Calls --> !-- Page scroll, tooltips, multibox, and ie6 warning --> !-- Start compression if enabled --> div ids5_scroll_wrap classs5_wrap> script typetext/javascript>/*! Jquery scrollto function */(function(a,c){var b(function(){var dc(a.documentElement),fc(a.body),e;if(d.scrollTop()){return d}else{ef.scrollTop();if(f.scrollTop(e+1).scrollTop()e){return d}else{return f.scrollTop(e)}}}());c.fn.smoothScrollfunction(d){d~~d||400;return this.find(ahref*#).click(function(f){var gthis.hash,ec(g);if(location.pathname.replace(/^\//,)this.pathname.replace(/^\//,)&&location.hostnamethis.hostname){if(e.length){f.preventDefault();b.stop().animate({scrollTop:e.offset().top},d,function(){location.hashg})}}}).end()}}(document,jQuery));jQuery(document).ready(function(){ jQuery(html).smoothScroll(700); });/script>div ids5_scrolltopvar>a href#s5_scrolltotop classs5_scrolltotop>/a>/div> /div> script typetext/javascript> jQuery(document).ready(function($){ $(.s5mb).each(function(i,z){if(!z.getAttribute(rel))z.setAttribute(rel,me);}); }(jQuery)); var s5mbox {}; jQuery(document).ready(function($){ window.s5mbox new multiBox({ mbClass: .s5mb,//class you need to add links that you want to trigger multiBox with (remember and update CSS files) container: $(document.body),//where to inject multiBox path: https://valentfx.com/wp-content/themes/s5_corporate_response/js/multibox/,//path to mp3player and flvplayer etc useOverlay: true,//detect overlay setting maxSize: {w:600, h:400},//max dimensions (width,height) - set to null to disable resizing movieSize: {w:400, h:300}, addDownload: false,//do you want the files to be downloadable? descClassName: s5_multibox,//the class name of the description divs pathToDownloadScript: https://valentfx.com/wp-content/themes/s5_corporate_response/js/multibox/forceDownload.asp,//if above is true, specify path to download script (classicASP and ASP.NET versions included) addRollover: true,//add rollover fade to each multibox link addOverlayIcon: false,//adds overlay icons to images within multibox links addChain: false,//cycle through all images fading them out then in recalcTop: true,//subtract the height of controls panel from top position addTips: true,//adds MooTools built in Tips class to each element (see: http://mootools.net/docs/Plugins/Tips) autoOpen: 0//to auto open a multiBox element on page load change to (1, 2, or 3 etc) }); }(jQuery)); Eventx.onResizend(function(){ s5mbox.resize(); }); /script>script typetext/javascript>//!CDATA var s5_lazyload all;//>/script>script typetext/javascript srchttps://valentfx.com/wp-content/themes/s5_corporate_response/js/lazy_load.js>/script> script typetext/javascript>//!CDATA var s5_resize_columns all; var s5_resize_columns_delay 500; var s5_resize_columns_small_tablets reduce;//>/script>script typetext/javascript srchttps://valentfx.com/wp-content/themes/s5_corporate_response/js/s5_columns_equalizer.js>/script>!-- Additional scripts to load just before closing body tag --> !-- Info Slide script - JS and CSS called in header --> script typetext/javascript> new Slidex(); /script> !-- File compression. Needs to be called last on this file --> !-- Responsive Bottom Mobile Bar --> !-- Call bottom bar for mobile devices if layout is responsive --> div ids5_responsive_mobile_bottom_bar_outer styledisplay:none> div ids5_responsive_mobile_bottom_bar classs5_responsive_mobile_bar_light> !-- Call mobile links if links are enabled and cookie is currently set to mobile --> div ids5_responsive_switch_mobile> a ids5_responsive_switch href?s5_responsive_switch_0>Desktop Version/a> /div> div ids5_responsive_mobile_scroll> a href#s5_scrolltotop classs5_scrolltotop>/a> /div> div styleclear:both;height:0px>/div> /div> /div>!-- Call bottom bar for all devices if user has chosen to see desktop version -->!-- ngg_resource_manager_marker -->script typetext/javascript srchttps://s0.wp.com/wp-content/js/devicepx-jetpack.js?ver202440>/script>script typetext/javascript srchttps://secure.gravatar.com/js/gprofiles.js?ver2024Octaa>/script>script typetext/javascript>/* !CDATA */var WPGroHo {my_hash:};/* > *//script>script typetext/javascript srchttps://valentfx.com/wp-content/plugins/jetpack/modules/wpgroho.js?ver5.1.19>/script>script typetext/javascript srchttps://valentfx.com/wp-includes/js/wp-embed.min.js?ver5.1.19>/script> script typetext/javascript> var vanilla_forum_url http://valentfx.com/vanilla; (function() { var vanilla_count document.createElement(script); vanilla_count.type text/javascript; vanilla_count.src vanilla_forum_url + /js/count.js; (document.getElementsByTagName(head)0 || document.getElementsByTagName(body)0).appendChild(vanilla_count); })(); /script> div styledisplay:none> /div>script typetext/javascript srchttps://stats.wp.com/e-202440.js asyncasync deferdefer>/script>script typetext/javascript> _stq window._stq || ; _stq.push( view, {v:ext,j:1:7.9.3,blog:67039155,post:435,tz:0,srv:valentfx.com} ); _stq.push( clickTrackerInit, 67039155, 435 );/script> /div> /div> /div>/div>!-- End Body Padding -->/body>/html>!-- WP Super Cache is installed but broken. The constant WPCACHEHOME must be set in the file wp-config.php and point at the WP Super Cache plugin directory. -->!-- Dynamic page generated in 1728233871.817 seconds. -->!-- Cached page generated by WP-Super-Cache on 2024-10-06 16:57:51 -->!-- super cache -->
View on OTX
|
View on ThreatMiner
Please enable JavaScript to view the
comments powered by Disqus.
Data with thanks to
AlienVault OTX
,
VirusTotal
,
Malwr
and
others
. [
Sitemap
]